EDA技術(shù)及應(yīng)用教程

出版時間:2009-10  出版社:機(jī)械工業(yè)出版社  作者:趙全利,秦春斌 主編  頁數(shù):280  

前言

  EDA(Electronic:Design Automation)即電子設(shè)計(jì)自動化,它是以可編程邏輯器件(PLD)為載體,以計(jì)算機(jī)為工作平臺,以EDA工具軟件為開發(fā)環(huán)境,以硬件描述語言(HDL)為電子系統(tǒng)功能描述方式,以電子系統(tǒng)設(shè)計(jì)為應(yīng)用方向的電子設(shè)計(jì)自動化,已經(jīng)發(fā)展成為電子系統(tǒng)設(shè)計(jì)者完成電子系統(tǒng)設(shè)計(jì)的重要工具?! DA“自頂向下”的系統(tǒng)設(shè)計(jì)理念,使設(shè)計(jì)人員擺脫了電路細(xì)節(jié)的束縛,可以直接針對設(shè)計(jì)目標(biāo)進(jìn)行功能描述,并進(jìn)行功能框圖的劃分和結(jié)構(gòu)設(shè)計(jì),而且能在框圖一級進(jìn)行仿真、糾錯、驗(yàn)證,從而避免了浪費(fèi),提高了一次設(shè)計(jì)的成功率,降低了系統(tǒng)開發(fā)的風(fēng)險。EDA可編程邏輯器件設(shè)計(jì),使設(shè)計(jì)人員在完成版圖設(shè)計(jì)后,能在實(shí)驗(yàn)室內(nèi)燒制芯片,大大縮短了系統(tǒng)的開發(fā)周期。EDA硬件描述語言是一種用于設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語言。它通過軟件編程來描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,靈活方便,簡潔明確,而且便于設(shè)計(jì)結(jié)果的交流、保存和重用;同時,用EDA硬件描述語言描述的設(shè)計(jì)不依賴于特定的器件,方便了工藝的轉(zhuǎn)換,可移植性好,更利于系統(tǒng)智能化程度的提高。隨著EDA技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,EDA技術(shù)在電子信息、通信、自動控制及計(jì)算機(jī)應(yīng)用等領(lǐng)域的重要性日益突出?! ”緯鶕?jù)不斷發(fā)展的EDA技術(shù)以及編者多年的教學(xué)經(jīng)驗(yàn)和工程實(shí)踐,并在參閱同類教材和相關(guān)文獻(xiàn)的基礎(chǔ)上編寫完成。本書在內(nèi)容結(jié)構(gòu)、基本概念、應(yīng)用實(shí)例等方面的安排和取舍上,既考慮了EDA技術(shù)理論的系統(tǒng)性、完整性和簡潔性,又注重了EDA技術(shù)教學(xué)的可操作性和實(shí)踐性,盡量做到用理論指導(dǎo)電子設(shè)計(jì)實(shí)踐,用設(shè)計(jì)實(shí)例驗(yàn)證理論技術(shù),實(shí)現(xiàn)了理論與實(shí)踐的有機(jī)結(jié)合?! ”緯灿?章,第l章對EDA技術(shù)的基本知識、常用的EDA軟件開發(fā)工具及其使用方法等做了簡要介紹;第2章按照可編程邏輯器件的發(fā)展歷程對其結(jié)構(gòu)原理做了簡要介紹;第3章以實(shí)例為主介紹了VHDL的語法要素、描述方式以及利用VHDL設(shè)計(jì)邏輯電路的基本思想方法;第4章以實(shí)例為主介紹了用VHDI實(shí)現(xiàn)常用邏輯電路的設(shè)計(jì);第5章以圖形說明、實(shí)例佐證的方式對QuartusⅡ和:Max+plusⅡ兩種:EDA工具軟件的使用方法做了簡單介紹;第6章通過實(shí)例介紹了EDA仿真技術(shù);第7章詳細(xì)介紹了應(yīng)用宏功能模塊的設(shè)計(jì)方法;第8章介紹了常見。EDA設(shè)計(jì)中的工程問題以及解決這些工程問題的思路和方法;第9章通過工程實(shí)例介紹了:EDA技術(shù)的典型工程應(yīng)用設(shè)計(jì)。本書在取材和編排上,由淺入深,循序漸進(jìn),便于讀者學(xué)習(xí)和教學(xué)使用。各章節(jié)中所列舉的VHDI.設(shè)計(jì)實(shí)例,都經(jīng)由EDA工具QuartusⅡ編譯通過,并在EDA開發(fā)系統(tǒng)上進(jìn)行了硬件測試,可直接使用或稍作修改用于相關(guān)系統(tǒng)的設(shè)計(jì)?! ”緯勺鳛楦叩仍盒k娮印⑼ㄐ?、自動化及計(jì)算機(jī)等專業(yè)EDA應(yīng)用技術(shù)的教學(xué)用書,同時也可作為高職院校相關(guān)專業(yè)的教學(xué)參考用書。

內(nèi)容概要

本書從教學(xué)和應(yīng)用的角度出發(fā),首先介紹了EDA技術(shù)的基本概念、應(yīng)用特點(diǎn)、可編程邏輯器件、硬件描述語言(VHDL)及常用邏輯單元電路的VHDL編程技術(shù);然后,以EDA應(yīng)用為目的,通過EDA實(shí)例詳細(xì)介紹了EDA技術(shù)的開發(fā)過程、開發(fā)工具軟件Quartus Ⅱ的使用、EDA設(shè)計(jì)過程中常見工程問題的處理;最后,介紹了工程中典型的EDA設(shè)計(jì)實(shí)例。    本書各章節(jié)均配有習(xí)題及設(shè)計(jì)實(shí)例練習(xí),便于讀者學(xué)習(xí)和教學(xué)使用。    本書可作為高等院校電子、通信、自動化及計(jì)算機(jī)等專業(yè)EDA應(yīng)用技術(shù)的教學(xué)用書,也可作為高職院校相關(guān)專業(yè)的教學(xué)參考用書。

書籍目錄

前言第1章 概述  1.1 EDA技術(shù)的發(fā)展 1.2 EDA技術(shù)的主要內(nèi)容    1.2.1 可編程邏輯器件    1.2.2 硬件描述語言    1.2.3 EDA軟件開發(fā)工具    1.2.4 實(shí)驗(yàn)開發(fā)系統(tǒng) 1.3 EDA技術(shù)的設(shè)計(jì)流程    1.3.1 設(shè)計(jì)輸入    1.3.2 邏輯綜合    1.3.3 目標(biāo)器件的適配    1.3.4  目標(biāo)器件的編程/下載    1.3.5 設(shè)計(jì)過程中的仿真    1.3.6 硬件仿真/硬件測試 1.4 EDA技術(shù)的設(shè)計(jì)方法 1.5 習(xí)題第2章 可編程邏輯器件 2.1 簡單PLD的基本結(jié)構(gòu) 2.2 CPLD的基本結(jié)構(gòu) 2.3 FPGA的基本結(jié)構(gòu) 2.4 可編程邏輯器件產(chǎn)品簡介   2.4.1  Ahera系列產(chǎn)品   2.4.2  Xilinx系列產(chǎn)品   2.4.3  Lattice系列產(chǎn)品 2.5 習(xí)題第3章 硬件描述語言VHDL 3.1  VHDL簡介    3.1.1 VHDL的發(fā)展及特點(diǎn)    3.1.2 傳統(tǒng)設(shè)計(jì)與VHDL設(shè)計(jì)對照 3.2 VHDL程序的基本結(jié)構(gòu)    3.2.1  VHDL程序的基本單元與構(gòu)成    3.2.2 實(shí)體    3.2.3 結(jié)構(gòu)體   3.2.4 程序包、庫和配置 3.3 VHDL的語法要素    3.3.1  VHDL文字規(guī)則    3.3.2  VHDL數(shù)據(jù)對象    3.3.3  VHDL數(shù)據(jù)類型    3.3.4 運(yùn)算操作符 3.4 VHDL結(jié)構(gòu)體的描述方式   3.4.1 順序描述語句   3.4.2 并行描述語句   3.4.3 屬性描述語句 3.5 VHDL設(shè)計(jì)邏輯電路的基本思想和方法    3.5.1 邏輯函數(shù)表達(dá)式方法    3.5.2 真值表方法    3.5.3 電路連接描述方法    3.5.4 不完整條件語句方法    3.5.5 層次化設(shè)計(jì)方法 3.6 習(xí)題第4章 用VHDL程序?qū)崿F(xiàn)常用邏輯電路 4.1 組合邏輯電路設(shè)計(jì)    4.1.1 基本邏輯門    4.1.2 三態(tài)門    4.1.3 3-8譯碼器    4.1.4 優(yōu)先編碼器    4.1.5 7段碼譯碼器    4.1.6 二一十進(jìn)制BCD譯碼器    4.1.7 多位加(減)法器 4.2 時序邏輯電路設(shè)計(jì)   4.2.1 觸發(fā)器   4.2.2 計(jì)數(shù)器   4.2.3 分頻器   4.2.4 移位寄存器 4.3 狀態(tài)機(jī)邏輯電路設(shè)計(jì)    4.3.1 一般狀態(tài)機(jī)的設(shè)計(jì)    4.3.2 狀態(tài)機(jī)的應(yīng)用 4.4 習(xí)題第5章 I=DA開發(fā)軟件及應(yīng)用 5.1 Quartus Ⅱ軟件簡介 5.2 Quartus Ⅱ軟件的安裝    5.2.1 系統(tǒng)要求  ……第6章 EDA仿真技術(shù)應(yīng)用實(shí)例第7章 Quartus Ⅱ中的宏功能模塊及應(yīng)用第8章 常見EDA設(shè)計(jì)中的工程問題第9章 EDA技術(shù)工程應(yīng)用實(shí)例參考文獻(xiàn)

章節(jié)摘錄

 ?。?)采用系統(tǒng)早期仿真  在系統(tǒng)設(shè)計(jì)過程中進(jìn)行了3級仿真,即行為級仿真、RTL級仿真和門級仿真,從而可以在系統(tǒng)設(shè)計(jì)早期發(fā)現(xiàn)設(shè)計(jì)中存在的問題,這樣就可以大大縮短系統(tǒng)的設(shè)計(jì)周期,降低費(fèi)用?! 。?)降低了硬件電路設(shè)計(jì)的難度  在使用傳統(tǒng)的硬件電路設(shè)計(jì)方法時,往往要求設(shè)計(jì)人員設(shè)計(jì)電路前應(yīng)寫出該電路的邏輯表達(dá)式和真值表(或時序電路的狀態(tài)表),然后進(jìn)行化簡等,這一工作是相當(dāng)困難和繁雜的,特別是在設(shè)計(jì)復(fù)雜系統(tǒng)時,工作量大也易出錯。如采用HDL,就可免除編寫邏輯表達(dá)式或真值表的過程,使設(shè)計(jì)難度大幅度下降,從而縮短設(shè)計(jì)周期?! 。?)主要設(shè)計(jì)文件是用HDL編寫的源程序  在傳統(tǒng)的硬件電路設(shè)計(jì)中,最后形成的主要文件是電路原理圖,而采用HDL設(shè)計(jì)系統(tǒng)硬件電路時,主要的設(shè)計(jì)文件是用HDL編寫的源程序。用HDL的源程序作為歸檔文件有很多好處:一是資料量小,便于保存;二是可繼承I生好,當(dāng)設(shè)計(jì)其他硬件電路時,可以使用文件中的某些庫、進(jìn)程和過程程序;三是閱讀方便,閱讀程序很容易看出某一硬件電路的工作原理和邏輯關(guān)系,而閱讀電原理圖,推知其工作原理需要較多的硬件知識和經(jīng)驗(yàn),而且看起來也不那么一目了然。如果需要,也可以將HDI編寫的源程序轉(zhuǎn)換成電原理圖形式輸出?! ∽皂斚蛳碌膶哟位O(shè)計(jì)方法,只有在EDA技術(shù)得到快速發(fā)展和成熟應(yīng)用的今天才成為可能,自頂向下的層次化設(shè)計(jì)方法的有效應(yīng)用必須基于功能強(qiáng)大的EDA工具,具備集系統(tǒng)描述、行為描述和結(jié)構(gòu)描述功能為一體的硬件描述語言(HDL),以及先進(jìn)的.ASIC制造工藝和:FPGA/CPLD開發(fā)技術(shù)。當(dāng)今,自頂向下的層次化設(shè)計(jì)方法已經(jīng)是EDA技術(shù)的首選設(shè)計(jì)方法,是FPGA/CPLD開發(fā)的主要設(shè)計(jì)方法?!?.基于IP的設(shè)計(jì) 一個較復(fù)雜的數(shù)字系統(tǒng)往往由許多功能模塊構(gòu)成,而設(shè)計(jì)者的新思想往往只體現(xiàn)于部分單元之中,其他單元的功能則是通用的,如FFT、FIR、IIR、Viterbi譯碼、PCI總線接口、調(diào)制解調(diào)和信道均衡等。這些通用單元具有可重用性,適用于不同的系統(tǒng)。:FPGA廠家及其第3方預(yù)先設(shè)計(jì)好這些通用單元并根據(jù)各種FPGA芯片的結(jié)構(gòu)對布局和布線進(jìn)行優(yōu)化,從而構(gòu)成具有自主知識產(chǎn)權(quán)的功能模塊,稱之為IP(Intellectual Property)模塊,也可稱為IP核(IP Core)?! P模塊可分為硬件IP(Hard IP)模塊、軟件IP(Soft IP)模塊和固件IP(Firm IP)模塊3種。硬件IP模塊已完成了布局布線和功能驗(yàn)證,并將設(shè)計(jì)映射到IC硅片的物理版圖上。雖然硬件IP模塊的可靠性高,但是它的可重用性和靈活性較差,往往不能直接轉(zhuǎn)換到采用新工藝的芯片中;軟件IP模塊通常是可綜合的寄存器級硬件描述語言模型,它包括仿真模型、測試方法和說明文檔。但是以HDL代碼的形式將軟件IP模塊提供給用戶不是最有效的方法,原因是用戶將IP模塊嵌入到自己的系統(tǒng)中后,新的布局布線往往會降低IP模塊的性能,甚至使整個系統(tǒng)都無法工作。  因此,一種有效的方法就是將帶有布局布線信息的網(wǎng)表提供給用戶,這樣就避免了用戶重新布線所帶來的問題。這種含有布局布線信息的軟件IP模塊又稱作固件IP模塊。Xilinx和Altera公司便是采用這種方式向用戶提供IP模塊的。而Actel和Lucent公司雖是以HDL的方式提供IP模塊,但它們事先也針對芯片的結(jié)構(gòu)作了優(yōu)化。設(shè)計(jì)者可以利用這些IP模塊更快、更高效、更可靠地完成系統(tǒng)設(shè)計(jì)。

編輯推薦

  《EDA技術(shù)及應(yīng)用教程》是教學(xué)、實(shí)驗(yàn)及工程實(shí)踐的結(jié)晶;理論與實(shí)踐的有機(jī)結(jié)合;實(shí)例豐富、由淺入深、循序漸進(jìn)、便于自學(xué);附贈電子教案,提供所有實(shí)例、習(xí)題的源程序代碼。

圖書封面

評論、評分、閱讀與下載


    EDA技術(shù)及應(yīng)用教程 PDF格式下載


用戶評論 (總計(jì)1條)

 
 

  •   內(nèi)容詳細(xì) 適合自學(xué) 發(fā)貨速度非???/li>
 

250萬本中文圖書簡介、評論、評分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號-7