EDA技術(shù)與應(yīng)用

出版時間:2012-7  出版社:清華大學出版社  作者:朱小祥 等主編  頁數(shù):275  字數(shù):412000  

內(nèi)容概要

《EDA技術(shù)與應(yīng)用(21世紀高職高專規(guī)劃教材)》由朱小祥、游家發(fā)主編,本書從應(yīng)用的角度出發(fā),首先介紹了EDA技術(shù)的基本概念、應(yīng)用特點、可編程邏輯器件、硬件描述語言VHDL及常用邏輯單元電路的VHDL編程技術(shù);然后以EDA應(yīng)用為目的,通過EDA實例詳細介紹了EDA技術(shù)的開發(fā)過程、開發(fā)工具軟件QuartusⅡ的使用、EDA工程中典型的設(shè)計實例;最后介紹了EDA最小系統(tǒng)板的設(shè)計,使讀者對其硬件有一個較充分的認識。本書各章節(jié)均配有習題及設(shè)計實例練習,便于讀者學習和教學使用。書中程序考慮學生學習的多樣性,在不同的EDA開發(fā)板上通過驗證調(diào)試。
《EDA技術(shù)與應(yīng)用(21世紀高職高專規(guī)劃教材)》注重精講多練,先進實用,可作為高職高專院校應(yīng)用電子技術(shù)、電子信息技術(shù)等專業(yè)的教材,也可作為相關(guān)技術(shù)人員的設(shè)計參考書。

書籍目錄

第1章 緒論
第2章 可編程邏輯器件
第3章 VHDL硬件描述語言
第4章 EDA開發(fā)工具
第5章 基本邏輯電路VHDL設(shè)計
第6章 有限狀態(tài)機VHDL設(shè)計
第7章 數(shù)字系統(tǒng)VHDL設(shè)計
第8章 EDA最小系統(tǒng)板設(shè)計
參考文獻

章節(jié)摘錄

版權(quán)頁:   插圖:   ④vital庫。使用vital庫,可以提高VHDL門級時序模擬的精度,因而只在VHDL仿真器中使用,庫中包含時序程序包vital_timing和vital_primitives。vital程序包已經(jīng)成為IEEE標準,在當前的VHDL仿真器的庫中,vital庫中的程序包都已經(jīng)并到ieee庫中。實際上由于各FPGA/CPLD生產(chǎn)廠商的適配工具(如ispEXPERT Compiler)都能為各自的芯片生成帶時序信息的VHDL門級網(wǎng)表,用VHDL仿真器仿真該網(wǎng)表可以得到非常精確的時序仿真結(jié)果,因此,基于實用的觀點,在FPGA/CPLD設(shè)計開發(fā)過程中,一般并不需要vital庫中的程序包。 除了以上提到的庫外,EDA工具開發(fā)商為了FPGA/CPLD開發(fā)設(shè)計上的方便,都有自己的擴展庫和相應(yīng)的程序包,如Dataio公司的generics庫、dataio庫等,以及上面提到的Synopsys公司的一些庫。 在VHDL設(shè)計中,有的EDA工具將一些程序包和設(shè)計單元放在一個目錄下,而將此目錄名如“work”作為庫名,如Synplicity公司的Synplify。有的EDA工具是通過配置語句結(jié)構(gòu)來指定庫和庫中的程序包,這時的配置即成為一個設(shè)計實體中最頂層的設(shè)計單元。此外,用戶還可以自己定義一些庫,將自己的設(shè)計內(nèi)容或通過交流獲得的程序包設(shè)計實體并入這些庫中。 (2)庫的用法。在VHDL中,庫的說明語句總是放在實體單元前面,這樣在設(shè)計實體內(nèi)的語句就可以使用庫中的數(shù)據(jù)和文件。由此可見,庫的用處在于使設(shè)計者可以共享已經(jīng)編譯過的設(shè)計成果。VHDL允許在一個設(shè)計實體中同時打開多個不同的庫,但庫之間必須是相互獨立的。 例如,某一程序中最前面的3條語句: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 表示打開ieee庫后,再打開此庫中的std_logic_1164程序包和std_logic_unsigned程序包的所有內(nèi)容。由此可見,在實際使用中,庫是以程序包集合的方式存在的,具體調(diào)用的是程序包中的內(nèi)容。因此,對于任一VHDL設(shè)計,所需從庫中調(diào)用的程序包在設(shè)計中應(yīng)是可見的(可調(diào)出的),即以明確的語句表達方式加以定義,庫語句指明庫中的程序包以及包中的待調(diào)用文件。 對于必須以顯式表達的庫及其程序包的語言表達式,應(yīng)放在每一項設(shè)計實體最前面,成為這項設(shè)計的最高層次的設(shè)計單元。庫語句一般必須與use語句同用,庫語句關(guān)鍵詞library指明所使用的庫名,use語句指明庫中的程序包。一旦說明了庫和程序包整個設(shè)計實體都可進入訪問或調(diào)用,但其作用范圍僅限于所說明的設(shè)計實體。VHDL要求一項含有多個設(shè)計實體的更大的系統(tǒng)中,每一個設(shè)計實體都必須有自己完整的庫說明語句和use語句。

編輯推薦

《21世紀高職高專規(guī)劃教材?電氣、自動化、應(yīng)用電子技術(shù)系列:EDA技術(shù)與應(yīng)用》注重精講多練,先進實用,可作為高職高專院校應(yīng)用電子技術(shù)、電子信息技術(shù)等專業(yè)的教材,也可作為相關(guān)技術(shù)人員的設(shè)計參考書。

圖書封面

評論、評分、閱讀與下載


    EDA技術(shù)與應(yīng)用 PDF格式下載


用戶評論 (總計0條)

 
 

 

250萬本中文圖書簡介、評論、評分,PDF格式免費下載。 第一圖書網(wǎng) 手機版

京ICP備13047387號-7