EDA技術(shù)與VHDL電路開發(fā)應(yīng)用實(shí)踐

出版時(shí)間:2009-4  出版社:電子工業(yè)出版社  作者:劉欲曉 等編著  頁數(shù):322  字?jǐn)?shù):538000  
Tag標(biāo)簽:無  

前言

  電子設(shè)計(jì)自動(dòng)化(Electronic DesignAutomation,即EDA)技術(shù)是指包括電路系統(tǒng)設(shè)計(jì)、系統(tǒng)仿真、設(shè)計(jì)綜合、PcB版圖設(shè)計(jì)和制版的一整套自動(dòng)化流程。隨著計(jì)算機(jī)、集成電路和電子設(shè)計(jì)技術(shù)的高速發(fā)展,EDA技術(shù)歷經(jīng)計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)輔助工程設(shè)計(jì)(CAE)等發(fā)展歷程,已經(jīng)滲透到百姓生活的各個(gè)角落,日益成為電子信息類產(chǎn)品的支柱產(chǎn)業(yè)?! DA技術(shù)主要包括大規(guī)??删幊踢壿?、硬件描述語言、軟件開發(fā)工具等內(nèi)容。目前,應(yīng)用最為廣泛的大規(guī)模可編程邏輯是復(fù)雜可編程邏輯器件CPLD和現(xiàn)場(chǎng)可編程門陣列FPGA,硬件描述語言HDL主要有VHDL、Verilog HDL,ABEL、AHDL、System Vefilog和SystemC等,主流的EDA軟件工具則有MAX+plus II、Quartus II、ispDesignExpERT、Foundation Series、ISE/ISE.WebPACK Series等。本書采用IEEE標(biāo)準(zhǔn)硬件描述語言VHDL對(duì)數(shù)字電路和系統(tǒng)進(jìn)行性能描述,以Altera公司的Quartus II軟件為集成開發(fā)環(huán)境,對(duì)EDA技術(shù)進(jìn)行了深入、詳盡的闡述?! ∪珪譃閮蓚€(gè)部分:第一部分從第l章到第8章,首先闡述了EDA技術(shù)的發(fā)展歷史和主要內(nèi)容、數(shù)字系統(tǒng)的設(shè)計(jì)方法等基礎(chǔ)知識(shí),然后通過簡單的程序示例向讀者展示了VHDL程序設(shè)計(jì)的特點(diǎn),并進(jìn)一步介紹了VHDL語言的語法知識(shí),包括VHDL程序結(jié)構(gòu)(如實(shí)體、結(jié)構(gòu)體、塊語句、庫、程序包等)、VHDL,語言要素(如文字規(guī)則、數(shù)據(jù)變量、數(shù)據(jù)類型、操作符等)、VHDL順序語句和并行語句(如賦值語句、流程控制語句、子程序調(diào)用語句、進(jìn)程語句、并行信號(hào)賦值語句等),接著對(duì)使用EDA軟件工具QuartusII進(jìn)行數(shù)字系統(tǒng)設(shè)計(jì)和仿真的方法做了相關(guān)說明,最后討論了基本電路(如組合邏輯里的加法器、譯碼器等,時(shí)序邏輯里的觸發(fā)器、寄存器等,以及Mealy和Moore型狀態(tài)機(jī))的VHDL.實(shí)現(xiàn)方法?! 〉诙糠譃榈?章到第23章,介紹了15個(gè)實(shí)用數(shù)字系統(tǒng)的VHDL,設(shè)計(jì)案例,包括交通燈控制器、秒表、彩燈控制器、搶答器、電梯控制器、出租車計(jì)費(fèi)器、微波爐控制器、FIR濾波器、12C控制器、DDS、序列檢測(cè)器、自動(dòng)售貨機(jī)、函數(shù)發(fā)生器、調(diào)制解調(diào)器和UART等,涉及日常生活、通信、數(shù)字信號(hào)處理等多個(gè)方面。

內(nèi)容概要

電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation,即EDA)技術(shù)是指包括電路系統(tǒng)設(shè)計(jì)、系統(tǒng)仿真、設(shè)計(jì)綜合、PCB版圖設(shè)計(jì)和制版的一整套自動(dòng)化流程。隨著計(jì)算機(jī)、集成電路和電子設(shè)計(jì)技術(shù)的高速發(fā)展,EDA技術(shù)已經(jīng)滲透到百姓生活的各個(gè)角落,日益成為電子信息類產(chǎn)品的支柱產(chǎn)業(yè)?! ”緯榻B了EDA技術(shù)和硬件描述語言VHDL的基礎(chǔ)知識(shí),通過對(duì)工程實(shí)例的系統(tǒng)分析、程序設(shè)計(jì)和仿真,深入細(xì)致地討論了它們?cè)跀?shù)字系統(tǒng)設(shè)計(jì)中的廣泛應(yīng)用?! ”緯?3章,第1章到第8章講解了EDA技術(shù)的發(fā)展歷史和主要內(nèi)容、數(shù)字系統(tǒng)的設(shè)計(jì)方法、VHDL程序結(jié)構(gòu)、VHDL語言要素、VHDL順序語句、并行語句、QuartusII數(shù)字系統(tǒng)設(shè)計(jì)和仿真方法以及基本電路的VHDL實(shí)現(xiàn)方法。第9章到第23章通過交通燈控制器、秒表、彩燈控制器、搶答器、電梯控制器、出租車計(jì)費(fèi)器、微波爐控制器、FIR濾波器、I2C控制器、DDS、序列檢測(cè)器、自動(dòng)售貨機(jī)、函數(shù)發(fā)生器、調(diào)制解調(diào)器和UART等15個(gè)數(shù)字系統(tǒng)的VHDL設(shè)計(jì)范例,給用戶演示了數(shù)字電路的設(shè)計(jì)方法和思路?! ”緯w系結(jié)構(gòu)嚴(yán)謹(jǐn),內(nèi)容由淺入深,案例取材廣泛,書中所有示例均給出了設(shè)計(jì)源程序和仿真驗(yàn)證結(jié)果。本書既可供高等院校的電子、通信、自動(dòng)化、計(jì)算機(jī)等信息工程類相關(guān)專業(yè)的本科生或研究生使用,也適合于立志自學(xué)成才的讀者和從事EDA技術(shù)應(yīng)用與研究的專業(yè)技術(shù)人員。使用本書將引領(lǐng)所有讀者走進(jìn)EDA技術(shù)和VHDL電路開發(fā)應(yīng)用的精彩世界。

書籍目錄

第1章 緒論第2章 VHDL入門第3章 VHDL程序結(jié)構(gòu)第4章 VHDL語言要素第5章 VHDL順序語句第6章 VHDL并行語句第7章  QuarturⅡ基本使用方法第8章 基本電路的VHDL實(shí)現(xiàn)第9章 交通燈控制器第10章 數(shù)字秒表第11章 多路彩燈控制器第12章 數(shù)字式競(jìng)賽搶答第13章 電梯控制器第14章 出租車計(jì)費(fèi)器第15章 微波爐控制器第16章 FIR濾波器第17章 12C總線控制器第18章 直接數(shù)字頻率合成器第19章 序列檢測(cè)器第20章 自動(dòng)售貨機(jī)第21章 智能函數(shù)發(fā)生器第22章 多功能調(diào)制解調(diào)器第23章 通用異步收發(fā)器附錄A 習(xí)題答案 

章節(jié)摘錄

  第1章 緒論  伴隨著計(jì)算機(jī)、集成電路和電子系統(tǒng)設(shè)計(jì)的發(fā)展,融合了計(jì)算數(shù)學(xué)、優(yōu)化理論、圖論和拓?fù)鋵W(xué)等多學(xué)科精髓發(fā)展起來的電子設(shè)計(jì)自動(dòng)化(EDA)技術(shù),正在成為現(xiàn)代電子設(shè)計(jì)技術(shù)的核心。EDA技術(shù)涉及面廣,內(nèi)容豐富,以其為基礎(chǔ)的數(shù)字系統(tǒng)設(shè)計(jì),已經(jīng)具備完整的、成熟的設(shè)計(jì)方法和設(shè)計(jì)流程,為現(xiàn)代電子設(shè)計(jì)的進(jìn)步和發(fā)展做出了巨大的貢獻(xiàn)。  1.1 EDA概述  伴隨著計(jì)算機(jī)、集成電路和電子設(shè)計(jì)技術(shù)的發(fā)展,EDA技術(shù)在過去的幾十年里取得了巨大的進(jìn)步。EDA技術(shù)使得設(shè)計(jì)者的工作僅限于利用軟件的方式,即利用硬件描述語言和EDA軟件便可完成對(duì)系統(tǒng)硬件功能的實(shí)現(xiàn)。如今,EDA軟件工具已經(jīng)成為電子信息類產(chǎn)品的支柱產(chǎn)業(yè)。  1.1.1 EDA的含義  隨著數(shù)字電子技術(shù)的飛速發(fā)展,信息化得到了有力的推動(dòng)和促進(jìn),從與普通百姓生活息息相關(guān)的手機(jī)、計(jì)算機(jī)、數(shù)字電視,到關(guān)系到國家安定社會(huì)和諧的軍用設(shè)備、航天技術(shù),都采用了數(shù)字電子技術(shù),它的應(yīng)用已經(jīng)滲透到人們生活的方方面面?,F(xiàn)代電子設(shè)計(jì)技術(shù)的核心已逐步轉(zhuǎn)向基于計(jì)算機(jī)的電子設(shè)計(jì)自動(dòng)化技術(shù),即EDA(ElectronicDesignAutomation)。  所謂EDA技術(shù),就是以功能強(qiáng)大的計(jì)算機(jī)為平臺(tái),以EDA軟件為工具,對(duì)用硬件描述語言。HDLHardware Description Language)的系統(tǒng)邏輯設(shè)計(jì)文件,自動(dòng)地完成邏輯編譯、簡化、分割、綜合、布局布線及邏輯優(yōu)化和仿真測(cè)試的電子產(chǎn)品自動(dòng)化設(shè)計(jì)過程。當(dāng)然,隨著EDA技術(shù)的逐漸成熟,也包括了如PSPICE、EWB、MATLAB等計(jì)算機(jī)輔助分析CAA技術(shù),如PROTEL、ORCAD等印刷制版計(jì)算機(jī)輔助設(shè)計(jì),等等。

圖書封面

圖書標(biāo)簽Tags

評(píng)論、評(píng)分、閱讀與下載


    EDA技術(shù)與VHDL電路開發(fā)應(yīng)用實(shí)踐 PDF格式下載


用戶評(píng)論 (總計(jì)2條)

 
 

  •   感覺不錯(cuò)的一本書,就是拿到的時(shí)候封面比較臟!
  •   主要還是軟件使用,并進(jìn)行設(shè)計(jì)介紹得太小了,入門有點(diǎn)難。書一般,不建議購買。
 

250萬本中文圖書簡介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7