VHDL基礎(chǔ)及經(jīng)典實例開發(fā)

出版時間:2008-4  出版社:西安交通大學(xué)出版社  作者:孟慶海,張洲 編著  頁數(shù):419  

前言

  人類社會已進入到高度發(fā)達的信息社會,信息社會的發(fā)展離不開電子產(chǎn)品的進步?,F(xiàn)代電子產(chǎn)品在性能提高、復(fù)雜度增大的同時,價格卻一直呈下降趨勢,而且產(chǎn)品更新?lián)Q代的步伐也越來越快,實現(xiàn)這種進步的主要原因就是生產(chǎn)制造技術(shù)和電子設(shè)計技術(shù)的發(fā)展。前者以微細(xì)加工技術(shù)為代表,目前已進展到深亞微米階段,可以在幾平方厘米的芯片上集成數(shù)千萬個晶體管;后者的核心就是。EDA技術(shù)。EDA是指以計算機為工作平臺,融合了應(yīng)用電子技術(shù)、計算機技術(shù)、智能化技術(shù)最新成果而研制成的電子CAD通用軟件包。沒有EDA技術(shù)的支持,想要完成上述超大規(guī)模集成電路的設(shè)計是不可想象的。反過來,生產(chǎn)制造技術(shù)的不斷進步又必將對EDA技術(shù)提出新的要求?! ∫虼?,EDA技術(shù)既是電路設(shè)計領(lǐng)域的發(fā)展潮流,又是超大規(guī)模集成電路設(shè)計的攻關(guān)方向。與其他核心技術(shù)類似,EDA技術(shù)不能沒有靈魂,于是,硬件描述語言應(yīng)運而生,它的出現(xiàn)標(biāo)志電路設(shè)計領(lǐng)域的一次重大的變革?! ≡谏习俜N硬件描述語言中,VHDL最早成為國際標(biāo)準(zhǔn)硬件描述語言。VHDL語法規(guī)范,可讀性強,易于修改和發(fā)現(xiàn)錯誤。VHDL是一種全方位的硬件描述語言,包括系統(tǒng)行為級、寄存器傳輸級和邏輯門級多個設(shè)計層次,支持結(jié)構(gòu)、數(shù)據(jù)流、行為三種描述形式及其混合描述,因此VHDL幾乎覆蓋了以往各種硬件描述語言的功能,整個自頂向下或自底向上的電路設(shè)計過程都可以用VHDL來完成。另外,VHDL還具有以下優(yōu)點:VHDL的寬范圍描述能力使它成為高層次設(shè)計的核心,將設(shè)計人員的工作重心提高到了系統(tǒng)功能的實現(xiàn)與調(diào)試,只需花較少的精力用于物理實現(xiàn)。VHDL可以用簡潔明確的代碼描述來進行復(fù)雜控制邏輯的設(shè)計,靈活且方便,而且也便于設(shè)計結(jié)果的交流、保存和重用。VHDL的設(shè)計不依賴于特定的器件,方便了工藝的轉(zhuǎn)換。VHDL是一個國際標(biāo)準(zhǔn)硬件描述語言,為眾多的EDA廠商所支持,因此移植性好。  隨著VHDL在我國的廣泛應(yīng)用,無論是ASIC設(shè)計人員、電子電路設(shè)計人員還是高等院校的學(xué)生都迫切需要一本除了介紹基本概念和基本語法知識外,更注重實際,實例豐富新穎的參考書。作者編寫此書的目的就是讓讀者了解如何應(yīng)用VHDL完成實際設(shè)計工作,進而全面有效地掌握它?! ”緯譃?4章。第1~2章主要介紹VHDL的基礎(chǔ)知識,目的是使初學(xué)者對VHDL形成系統(tǒng)的認(rèn)識,有一定開發(fā)經(jīng)驗的讀者可以跳過這部分;第3~14章主要介紹12個大型復(fù)雜數(shù)字系統(tǒng)的VHDL設(shè)計實例,書中列舉的大量實例都經(jīng)過精心設(shè)計,包含了自頂向下的設(shè)計思想,模塊化和層次化的設(shè)計方式,全部實例都經(jīng)過軟件仿真驗證或硬件實際測試。

內(nèi)容概要

隨著半導(dǎo)體技術(shù)和計算機技術(shù)的飛速發(fā)展,集成電路的設(shè)計方法發(fā)生了深刻的變化。硬件描述語言應(yīng)運而生,它的出現(xiàn)標(biāo)志電路設(shè)計領(lǐng)域的一次重大的變革。目前,硬件描述語言種類繁多,百花齊放。作為國際標(biāo)準(zhǔn)的經(jīng)典硬件描述語言,VHDL無疑是其中的佼佼者。    本書內(nèi)容豐富,側(cè)重實戰(zhàn),經(jīng)典新穎實例兼而有之。全書共14章。第1-2章主要介紹VHDL的基礎(chǔ)知識,目的是使初學(xué)者對VHDL產(chǎn)生系統(tǒng)的認(rèn)識,有一定開發(fā)經(jīng)驗的讀者可以跳過這部分;第3-14章主要介紹12個大型復(fù)雜數(shù)字系統(tǒng)的VHDL設(shè)計實例,書中列舉的大量實例都經(jīng)過精心設(shè)計,包含了自頂向下的設(shè)計思想,模塊化和層次化的設(shè)計方式,全部實例都經(jīng)過軟件仿真驗證或硬件實際測試。    本書的特點是講述清楚、注重實用、由淺入深,書中的實例具有很高的參考價值和實用價值,能夠使讀者掌握較多的實戰(zhàn)技能和經(jīng)驗。它既可作為高等院校計算機、通信、電子類專業(yè)的研究生、本科生的教材和參考書,也可以作為廣大ASIC設(shè)計人員和電子電路設(shè)計人員的工具書。

書籍目錄

第1章  VHDL概述  1.1  硬件描述語言    1.1.1  硬件描述語言的產(chǎn)生    1.1.2  硬件描述語言的種類  1.2  VHDL硬件描述語言  1.2.1  VHDL的特點  1.2.2  VHDL設(shè)計流程第2章  VHDL硬件描述語言  2.1  VHDL的基本元素    2.1.1  標(biāo)識符    2.1.2  數(shù)據(jù)對象    2.1.3  數(shù)據(jù)類型    2.1.4  運算符和操作符  2.2  VHDL程序的基本結(jié)構(gòu)    2.2.1  實體說明    2.2.2  結(jié)構(gòu)體    2.2.3  程序包    2.2.4  庫  2.3  VHDL的主要語句    2.3.1  進程語句    2.3.2  信號賦值語句    2.3.3  順序描述語句    2.3.4  并行描述語句    2.3.5  GENERIC語句    2.3.6  GENERATE語句    2.3.7  BLOCK語句    2.3.8  過程及函數(shù)  2.4  VHDL的屬性描述    2.4.1  值類屬性    2.4.2  函數(shù)類屬性    2.4.3  信號類屬性    2.4.4  數(shù)據(jù)類型類屬性    2.4.5  數(shù)據(jù)范圍類屬性第3章  數(shù)字鐘設(shè)計  3.1  設(shè)計任務(wù)  3.2  系統(tǒng)設(shè)計  3.3  模塊實現(xiàn)    3.3.1  計時模塊    3.3.2  校時模塊    3.3.3  顯示模塊第4章  通用串并乘法器設(shè)計  4.1  串并乘法器原理  4.2  系統(tǒng)設(shè)計  4.3  模塊設(shè)計與實現(xiàn)    4.3.1  全加器模塊    4.3.2  流水線單元模塊    4.3.3  其他簡單模塊第5章  串行通信接口SCI設(shè)計  5.1  RS-232串行通信簡介    5.1.1  標(biāo)準(zhǔn)概述    5.1.2  協(xié)議規(guī)范    5.1.3  通信時序  5.2  系統(tǒng)設(shè)計    5.2.1  SCI內(nèi)部寄存器    5.2.2  SCI頂層設(shè)計與實現(xiàn)  5.3  模塊設(shè)計與實現(xiàn)    5.3.1  微處理器接口模塊    5.3.2  發(fā)送模塊    5.3.3  接收模塊    5.3.4  波特率發(fā)生模塊    5.3.5  LOOPBACK模塊    5.3.6  Modem模塊第6章  看門狗設(shè)計  6.1  設(shè)計任務(wù)  6.2  系統(tǒng)設(shè)計  6.3  模塊設(shè)計與實現(xiàn)    6.3.1  計數(shù)比較模塊    6.3.2  分頻模塊    6.3.3  復(fù)位計時模塊第7章  出租車計價器設(shè)計  7.1  設(shè)計任務(wù)  7.2  系統(tǒng)設(shè)計  7.3  模塊設(shè)計與實現(xiàn)    7.3.1  計費模塊    7.3.2  顯示模塊第8章  高層電梯控制器設(shè)計  8.1  設(shè)計任務(wù)  8.2  系統(tǒng)設(shè)計  8.3  模塊設(shè)計與實現(xiàn)    8.3.1  主控制器模塊    8.3.2  分控制器模塊第9章  數(shù)字頻率計設(shè)計  9.1  計數(shù)測頻    9.1.1  設(shè)計任務(wù)    9.1.2  系統(tǒng)設(shè)計    9.1.3  模塊設(shè)計與實現(xiàn)  9.2  等精度測頻第10章  數(shù)字密碼鎖設(shè)計  10.1  設(shè)計任務(wù)  10.2  系統(tǒng)設(shè)計  10.3  模塊設(shè)計與實現(xiàn)    10.3.1  控制模塊    10.3.2  計數(shù)器模塊    10.3.3  寄存器模塊    10.3.4  比較器模塊    10.3.5  編碼器模塊第11章  I2C總線控制器設(shè)計  11.1  I2C總線概述    11.1.1  I2C總線基本概念    11.1.2  I2C數(shù)據(jù)傳輸時序  11.2  系統(tǒng)設(shè)計    11.2.1  微控制器接口    11.2.2  I2C控制器的內(nèi)部寄存器    11.2.3  頂層實體設(shè)計及實現(xiàn)  11.3  模塊設(shè)計與實現(xiàn)    11.3.1  微控制器接口模塊    11.3.2  I2C協(xié)議控制器模塊    11.3.3  其他簡單模塊第12章  異步FIFO設(shè)計  12.1  異步FIFO原理  12.2  系統(tǒng)設(shè)計  12.3  模塊設(shè)計與實現(xiàn)    12.3.1  空/滿標(biāo)志產(chǎn)生邏輯    12.3.2  格雷碼計數(shù)器    12.3.3  格雷碼-二進制碼轉(zhuǎn)換模塊    12.3.4  存儲器設(shè)計第13章  數(shù)字直接頻率合成設(shè)計  13.1  DDS原理  13.2  系統(tǒng)設(shè)計  13.3  模塊設(shè)計與實現(xiàn)    13.3.1  微控制器接口模塊    13.3.2  比例乘法器模塊    13.3.3  相位累加器模塊    13.3.4  雙端口RAM模塊第14章  基于FPGA的虛擬邏輯分析儀設(shè)計  14.1  虛擬儀器概述    14.1.1  虛擬儀器的發(fā)展    14.1.2  虛擬儀器的特點    14.1.3  虛擬邏輯分析儀  14.2  系統(tǒng)設(shè)計    14.2.1  人機界面設(shè)計    14.2.2  頂層設(shè)計及實現(xiàn)  14.3  模塊設(shè)計及實現(xiàn)    14.3.1  觸發(fā)模塊    14.3.2  采樣存儲模塊    14.3.3  其他簡單模塊附錄1  保留字附錄2  一些有用的網(wǎng)址參考文獻

章節(jié)摘錄

  (3)不具備描述模擬電路能力。對于模擬電路而言,VHDL并不是一種理想的硬件描述 語言。現(xiàn)在,IEEE正致力于設(shè)計VHDL的超級VHDLA.MS,這種語言將能夠?qū)δM電路和 數(shù)?;旌想娐愤M行描述,可以預(yù)見,支持模擬電路和數(shù)?;旌想娐访枋鰧⑹怯布枋稣Z言的發(fā) 展方向?! ?.2.2 VHDL設(shè)計流程  采用VHDL設(shè)計硬件電路系統(tǒng)的設(shè)計流程一般可以分為以下幾個步驟?! ?1)確定電路具體功能。通常情況下,開發(fā)前期先設(shè)計總體方案,但總體方案相對比較抽 象,使用VHDL的設(shè)計人員必須分析電路所要實現(xiàn)的具體功能?! ?2)設(shè)計輸入。利用自頂向下的方法,將設(shè)計劃分為不同的功能模塊。每個模塊完成一 定的邏輯功能。模塊劃分是設(shè)計過程中的一個重要環(huán)節(jié),這一步要花費較多的時間和精力完 成,從而保證整體最優(yōu)?! 【帉懨總€模塊的程序,然后將各個模塊的程序組合在一起,完成整個系統(tǒng)的VHDL描述?! ?3)功能仿真。在功能仿真階段主要對所設(shè)計的電路進行功能驗證,通過功能仿真,發(fā)現(xiàn) 設(shè)計存在的缺陷。例如,輸入輸出是否有矛盾,有無未加處理的輸入信號,是否允許使能等。 通過功能仿真,在設(shè)計前期糾正缺陷和錯誤,可以節(jié)省后期的時間,縮短整體開發(fā)周期?! ?4)綜合、優(yōu)化和布局布線。綜合的作用是將較高層次的VHDL抽象描述轉(zhuǎn)化為較低級 別抽象,或者說實際的硬件電路。  優(yōu)化的作用是將電路設(shè)計的時延縮到最小和有效利用資源。幾乎所有的高級VHDL綜 合工具都可以利用約束條件對電路設(shè)計進行優(yōu)化。一般情況下,常用的約束條件主要包括時 間約束和面積約束?! ∷玫降倪壿嫞卜诺揭粋€邏輯器件之中的過程?!∫粋€較好的布局布線過程就是將電路的相關(guān)部分放置在一起,以消除布線延遲?! ?5)時序仿真。時序仿真是接近于真實器件運行特性的仿真,仿真文件中包含了器件硬 件特性參數(shù),因而仿真精度高。時序仿真的文件必須來自針對具體器件的綜合器與適配器?! ?6)編程下載。編程下載指將VHDL程序經(jīng)過綜合、優(yōu)化和布局布線后生成的編程數(shù)據(jù) 寫入具體的可編程器件中?! ?7)硬件測試。最后,將寫入編程數(shù)據(jù)的硬件系統(tǒng)進行實際測試,以便檢驗設(shè)計的運行情況。

編輯推薦

  本書是為了讓讀者了解如何應(yīng)用VHDL完成實際設(shè)計工作,進而全面有效地掌握它所編寫的。該書側(cè)重實戰(zhàn),經(jīng)典新穎實例兼而有之。全書共分14個章節(jié),具體內(nèi)容包括VHDL概述、VHDL硬件描述語言、數(shù)字鐘設(shè)計、串行通信接口SCI設(shè)計、出租車計價器設(shè)計、I2C總線控制器設(shè)計等。該書可供各大專院校作為教材使用,也可供從事相關(guān)工作的人員作為參考用書使用。

圖書封面

評論、評分、閱讀與下載


    VHDL基礎(chǔ)及經(jīng)典實例開發(fā) PDF格式下載


用戶評論 (總計0條)

 
 

 

250萬本中文圖書簡介、評論、評分,PDF格式免費下載。 第一圖書網(wǎng) 手機版

京ICP備13047387號-7