實(shí)用EDA技術(shù)與VHDL教程

出版時(shí)間:2011-5  出版社:人民郵電出版社  作者:趙巖 等編著  頁(yè)數(shù):321  

內(nèi)容概要

  《實(shí)用eda技術(shù)與vhdl教程》系統(tǒng)地介紹eda應(yīng)用技術(shù)。全書(shū)共6章,第1章介紹了eda技術(shù)及cpld/fpga器件原理、結(jié)構(gòu)和特點(diǎn);第2章介紹了eda常用設(shè)計(jì)軟件的設(shè)計(jì)開(kāi)發(fā)流程;第3章和第4章對(duì)vhdl設(shè)計(jì)fpga功能模塊進(jìn)行了講解;第5章系統(tǒng)地講解了vhdl規(guī)范化方法以及常見(jiàn)的編程的warning分析,有效地提高了eda技術(shù)設(shè)計(jì)水平;第6章為教學(xué)實(shí)驗(yàn)指導(dǎo),并使讀者對(duì)一種開(kāi)源的sopc系統(tǒng)有一個(gè)初步的認(rèn)識(shí),對(duì)進(jìn)一步深入學(xué)習(xí)eda技術(shù)構(gòu)筑良好基礎(chǔ)。
  《實(shí)用eda技術(shù)與vhdl教程》取材廣泛、全面系統(tǒng)、內(nèi)容新穎,以培養(yǎng)學(xué)生的設(shè)計(jì)和應(yīng)用開(kāi)發(fā)能力為主線,重視普及與提高,實(shí)用性強(qiáng),可供高等院校電子工程、通信工程、計(jì)算機(jī)應(yīng)用、自動(dòng)化、儀器儀表等信息類(lèi)及相關(guān)專(zhuān)業(yè)的本科生或研究生使用,也可作為eda設(shè)計(jì)人員的自學(xué)參考書(shū)。

書(shū)籍目錄

第1章 緒論 
1.1 eda技術(shù)概論 
1.2 fpga/cpld器件概述 
1.3 可編程邏輯器件的基本結(jié)構(gòu) 
1.4 簡(jiǎn)單的可編程邏輯器件 
1.5 可編程邏輯器件epld和cpld 
1.6 現(xiàn)場(chǎng)可編程門(mén)陣列fpga 
1.7 fpga的結(jié)構(gòu)原理 
習(xí)題 
第2章 eda常用設(shè)計(jì)軟件介紹
2.1 xilinx ise軟件概述 
2.2 actel libero ide軟件概述 
2.3 altera quartus ii開(kāi)發(fā)流程 
習(xí)題 
第3章 vhdl硬件描述語(yǔ)言 
3.1 vhdl的基本元素 
3.2 vhdl程序的基本結(jié)構(gòu)
3.3 vhdl的主要語(yǔ)句 
3.4 vhdl的屬性描述 
3.5 vhdl中的配置 
習(xí)題 
第4章 有限狀態(tài)機(jī)設(shè)計(jì) 
4.1 狀態(tài)機(jī) 
4.2 不帶控制輸入的狀態(tài)機(jī) 
4.3 帶控制輸入的狀態(tài)機(jī) 
4.4 狀態(tài)機(jī)的無(wú)用狀態(tài)處理 
4.5 用狀態(tài)機(jī)設(shè)計(jì)多通道a/d轉(zhuǎn)換 
習(xí)題 
第5章 vhdl規(guī)范化標(biāo)準(zhǔn)及常見(jiàn)warning分析 
5.1 編碼設(shè)計(jì) 
5.2 可綜合代碼描述規(guī)則 
5.3 設(shè)計(jì)優(yōu)化 
5.4 常見(jiàn)warning分析及消除 
習(xí)題 
第6章 eda技術(shù)綜合實(shí)驗(yàn)指導(dǎo) 
6.1 綜合實(shí)驗(yàn)1-頻率測(cè)量設(shè)計(jì) 
6.2 綜合實(shí)驗(yàn)2-uart設(shè)計(jì) 
6.3 綜合設(shè)計(jì)與實(shí)驗(yàn) 
參考文獻(xiàn)

圖書(shū)封面

評(píng)論、評(píng)分、閱讀與下載


    實(shí)用EDA技術(shù)與VHDL教程 PDF格式下載


用戶(hù)評(píng)論 (總計(jì)0條)

 
 

 

250萬(wàn)本中文圖書(shū)簡(jiǎn)介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書(shū)網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7