SOPC設(shè)計(jì)基礎(chǔ)與實(shí)踐

出版時(shí)間:2006-4  出版社:西安電子  作者:王建校  

內(nèi)容概要

本書主要介紹Nios CPU的結(jié)構(gòu),并結(jié)合Quartus II軟件的基本使用方法,以大量的設(shè)計(jì)實(shí)例作為切入點(diǎn),引導(dǎo)讀者快速掌握EDA設(shè)計(jì)技術(shù)。本書圖文并茂,舉例簡明易懂,適合于不同讀者選用。    本書分為4篇,各篇內(nèi)容相互獨(dú)立,讀者可以根據(jù)需要,選讀自己所關(guān)注的內(nèi)容。    本書第一篇介紹Nios CPU及外設(shè),是SOPC的基礎(chǔ),所涉及的內(nèi)容有Nios CPU的結(jié)構(gòu)、Avalon總線、外設(shè)的組織和使用。第二篇通過具體實(shí)例介紹Qua rtus II軟件的使用方法,在此基礎(chǔ)上,通過適當(dāng)規(guī)模的數(shù)字系統(tǒng)設(shè)計(jì)(數(shù)字電子鐘、數(shù)字頻率計(jì)、電子搶答器、出租車計(jì)價(jià)器),分別展示了原理圖、V erilog、VHDL及混合系統(tǒng)設(shè)計(jì)的特點(diǎn)。第三、四篇向讀者介紹Nios CPU的使用方法,并備有一定數(shù)量的實(shí)驗(yàn)(第三篇介紹Nios CPU的應(yīng)用,第四篇介紹NiosII CPU的應(yīng)用)。為方便讀者學(xué)習(xí),本書含配套光盤一張。    本書可供相關(guān)專業(yè)在校大學(xué)生、研究生使用,亦可供電子工程師和業(yè)余愛好者使用。

書籍目錄

第一篇 Nios CPU及外設(shè)  第1章 NiOS CPU    1.1 指令總線主端口    1.2 數(shù)據(jù)總線主端口    1.3 高速緩存    1.4 移位單元    1.5 乘法支持    1.6 中斷支持    1.7 Nios片上調(diào)試模塊(OCI模式)  第2章 Nios CPU編程模型    2.1 寄存器    2.2 存儲(chǔ)器結(jié)構(gòu)    2.3 尋址方式    2.4 程序流程控制    2.5 異常事件    2.6 流水線  第3章 Avalon總線    3.1 概述    3.2 術(shù)語和概念    3.3 Avalon總線傳輸    3.4 Avalon從端口傳輸    3.5 Avalon主端口傳輸    3.6 高級Avalon總線傳輸    3.7 片外設(shè)備與Avalon總線的接口    3.8 Avalon總線地址對齊方式    3.9 連接到外部設(shè)備  第4章 外設(shè)的組織與使用    4.1 SOPC Builder與PTF文件    4.2 定時(shí)器    4.3 并行輸入/輸出口    4.4 異步收發(fā)器(UART)    4.5 DMA控制器    4.6 串行外圍設(shè)備接口(SPI)第二篇 Quartus II應(yīng)用入門  第5章 Quartus II應(yīng)用基礎(chǔ)    5.1 原理圖工程    5.2 文本文件(Verilog)工程    5.3 文本文件(VHDL)工程    5.4 文本文件(AHDL)工程    5.5 混合工程(自底而上)    5.6 混合工程(自頂而下)  第6章 設(shè)計(jì)舉例    6.1 7段數(shù)碼管譯碼器(DE4-7)    6.2 8選l多路選擇器(MUL8_1)    6.3 計(jì)數(shù)器(CNTl000)    6.4 50 kHz分頻器    6.5 動(dòng)態(tài)數(shù)碼管顯示(DISPLAY)    6.6 數(shù)字電子鐘(CLK)    6.7 數(shù)字頻率計(jì)(FREQ)    6.8 電子搶答器    6.9 出租車計(jì)價(jià)器  第7章 QuarIus II的工具    7.1 在線邏輯分析儀(SignalTap II)    7.2 TCL屏幕控制臺(tái)  第8章 Vbrilog語言設(shè)計(jì)舉例    8.1 數(shù)字電子鐘    8.2 數(shù)字頻率計(jì)    8.3 電子搶答器.    8.4 出租車計(jì)價(jià)器  第9章 VHDL語言設(shè)計(jì)舉例    9.1 數(shù)字電子鐘(CLK)    9.2 數(shù)字頻率計(jì)    9.3 電子搶答器.    9.4 出租車計(jì)價(jià)器第三篇 Nios應(yīng)用入門  第10章 SOPC B ujIder    10.1 創(chuàng)建工程項(xiàng)目    10.2 構(gòu)造Nios CPU及其外設(shè)  第11章 GERMS監(jiān)控.    11.1 GERMS監(jiān)控簡介     11.2 Nios SDK Shell    11.3 Nios SDK Shell的命令  第12章 Nios CPU系統(tǒng)擴(kuò)展    12.1 擴(kuò)展外部SRAM    12.2 擴(kuò)展外部Flash    12.3 串行配置器件    12.4 外部中斷    12.5 定時(shí)器  第13章 NiOS系統(tǒng)的接口實(shí)驗(yàn)    13.1 模/數(shù)轉(zhuǎn)換(AJC0832)    13.2 數(shù)/模轉(zhuǎn)換(TLC5620)    13.3 鍵盤、顯示器控制器(7289A)    13.4 點(diǎn)陣液晶顯示器(16963C)    13.5 時(shí)鐘日歷(DSl337)    13.6 IC卡(24C01)    13.7 語音錄放(1SD25120)第四篇 Nios II應(yīng)用入門  第14章 SOPC Builder    14.1 創(chuàng)建工程項(xiàng)目    14.2 構(gòu)造Nios II CPU及其外設(shè)  第15章 NiOS II IDE    15.1 新建工程    15.2 重新進(jìn)入已有工程  第16章 NiOS CPU系統(tǒng)擴(kuò)展    16.1 擴(kuò)展外部SRAM    16.2 擴(kuò)展外部Flash    16.3 串行配置器件    16.4 外部中斷    16.5 定時(shí)器  第17章 NiOS系統(tǒng)的接口實(shí)驗(yàn).    17.1 模/數(shù)轉(zhuǎn)換(ADC0832)        17.2 數(shù)/模轉(zhuǎn)換(ILC5620)    17.3 鍵盤、顯示器控制器(7289A)    17.4 點(diǎn)陣液晶顯示器(16963)    17.5 時(shí)鐘日歷(DSl337)    17.6 IC卡(24C01)    17.7 語音錄放(ISD25120)附錄A 多功能電子學(xué)習(xí)機(jī)系統(tǒng)介紹  A.1 概述附錄B FPGA系統(tǒng)模塊  B.1 FPGA模塊  B.2 靜態(tài)RAM模塊  B.3 Hash模塊  B.4 串行接口模塊  B.5 時(shí)鐘模塊  B.6 電源模塊  B.7 配置模塊  B.8 Nios模塊的引腳資源附錄C 實(shí)驗(yàn)顯示板  C.1 數(shù)碼管及其驅(qū)動(dòng)  C.2 數(shù)碼管位驅(qū)動(dòng)  C.3 用戶鍵盤  C.4 用戶邏輯指示器  C.5 用戶邏輯筆  C.6 連接件

圖書封面

評論、評分、閱讀與下載


    SOPC設(shè)計(jì)基礎(chǔ)與實(shí)踐 PDF格式下載


用戶評論 (總計(jì)5條)

 
 

  •   需要開發(fā)板,還好有光盤,可以過過癮.
  •   由于是代買的,所以回復(fù)沒法及時(shí)。書本是正品,缺點(diǎn)是書有點(diǎn)舊,不是說它不是新的,是說這書看起來很舊,而且光盤保養(yǎng)得實(shí)在不怎么樣,拿到了根本不敢用,怕把光驅(qū)弄壞了??傮w上說,商家很守信吧,我大概是3、4天內(nèi)收到貨的樣子
  •   書不錯(cuò),寫的很詳細(xì)。就是有一點(diǎn),書中所講到的開發(fā)軟件版本太低了,用高版本的讀者有些費(fèi)力。
  •   有點(diǎn)像二手的。呵呵
  •   一張圖片,兩行文字,再一張圖片,兩行文字,流水賬似的說明,書太爛。光盤是Quartus5.0軟件。
 

250萬本中文圖書簡介、評論、評分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7