可編程邏輯器件及EDA技術(shù)

出版時(shí)間:2008-5  出版社:北京科文圖書(shū)業(yè)信息技術(shù)有限公司  作者:李景華,杜玉遠(yuǎn) 主編  頁(yè)數(shù):496  

前言

隨著電子技術(shù)的飛速發(fā)展,可編程邏輯器件及其設(shè)計(jì)技術(shù)正在快速進(jìn)步,而可編程邏輯器件的應(yīng)用數(shù)字系統(tǒng)設(shè)計(jì)技術(shù)也在不斷進(jìn)步和更新。20世紀(jì)末期,集成電路的制造技術(shù)處于深亞微米階段,其特征尺寸為0.35~O.18um。在經(jīng)歷短短幾年之后,集成電路的制造技術(shù)已經(jīng)發(fā)展到90~65nm的水平。這就意味著單片可編程邏輯器件可以集成幾千萬(wàn)個(gè)PLD門(mén)??删幊踢壿嬈骷虯SIC技術(shù)的融合,使系統(tǒng)在片SOPC(System On Programmable Chip)技術(shù)得以實(shí)現(xiàn)。所謂SOPC技術(shù),就是將各種MCLJ、存儲(chǔ)器、各種接口單元以硬核或軟核方式集成到可編程邏輯器件中。SOPC作為數(shù)字系統(tǒng)設(shè)計(jì)的一個(gè)全新應(yīng)用領(lǐng)域,需要融入最新的教學(xué)內(nèi)容。因此,我們對(duì)本書(shū)第一版內(nèi)容進(jìn)行了必要的增減。基于上述考慮,本書(shū)保留了第一版中可編程邏輯器件基礎(chǔ)、數(shù)字系統(tǒng)設(shè)計(jì)、VHDL、典型數(shù)字系統(tǒng)設(shè)計(jì)方法及其實(shí)例等內(nèi)容。作者在總結(jié)SOPC技術(shù)實(shí)踐的經(jīng)驗(yàn)和體會(huì)的基礎(chǔ)上,新增了SOPC技術(shù)的應(yīng)用器件結(jié)構(gòu)與工作原理、SOPC的硬件設(shè)計(jì)和軟件設(shè)計(jì)及其IP核應(yīng)用技術(shù)等內(nèi)容。特別詳細(xì)講解了QuartusⅡ7.0的使用方法,NiOSⅡ系統(tǒng)的軟件、硬件設(shè)計(jì)過(guò)程和設(shè)計(jì)實(shí)例。此外,為了使讀者更為充分地了解學(xué)習(xí)新興的EDA設(shè)計(jì)工具和設(shè)計(jì)方法,在本書(shū)的最后一章還系統(tǒng)地介紹了Altium公司推出的一體化EDA設(shè)計(jì)工具Altium Designer V6.5,并且以翔實(shí)的例子介紹了基于NanoBoard-NBI開(kāi)發(fā)板的EDA設(shè)計(jì)實(shí)現(xiàn)方法。

內(nèi)容概要

可編程邏輯器件及EDA技術(shù)是普通高等教育“十一五”國(guó)家級(jí)規(guī)劃教材。隨著EDA技術(shù)的進(jìn)步。根據(jù)現(xiàn)階段大學(xué)本科及研究生在數(shù)字系統(tǒng)設(shè)計(jì)方面的實(shí)際情況,本書(shū)詳細(xì)闡述了VHDt一語(yǔ)言和設(shè)計(jì)實(shí)例、典型數(shù)字系統(tǒng)設(shè)計(jì)實(shí)例以及QuartusⅡ7.0開(kāi)發(fā)系統(tǒng)。特別增設(shè)了SOPC技術(shù)與應(yīng)用的相關(guān)內(nèi)容。這一部分詳細(xì)地闡述了Nios Ⅱ軟核處理器的體系結(jié)構(gòu)、外部設(shè)備以及實(shí)現(xiàn)NiosⅡ軟核處理器的FPGA配置和應(yīng)用程序引導(dǎo)等關(guān)鍵技術(shù),并且給出了豐富的設(shè)計(jì)實(shí)例。此外,本書(shū)還介紹了利用一體化EDA開(kāi)發(fā)工具(AIfium Designer 6.x)設(shè)計(jì)電路板、FPGA、嵌入式系統(tǒng)的方法及其設(shè)計(jì)實(shí)例。    本書(shū)可作為高等學(xué)校電子信息工程、電子科學(xué)與技術(shù)、通信工程、計(jì)算機(jī)科學(xué)與技術(shù)、電子信息工程、自動(dòng)控制等專(zhuān)業(yè)的本科生和相關(guān)專(zhuān)業(yè)研究生的教科書(shū)或參考教材,也可作為電子系統(tǒng)的工程設(shè)計(jì)人員和其他相關(guān)人員的自學(xué)參考書(shū)。

書(shū)籍目錄

第1章 可編程器件和EDA技術(shù)概述  1.1 EDA技術(shù)的主要特征  1.2 EDA技術(shù)的設(shè)計(jì)方法  1.3 可編程邏輯器件簡(jiǎn)介    1.3.1 從ASIC到FPGA/CPID    1.3.2 CPLD器件    1.3.3 FPGA器件  1.4 可編程邏輯器件設(shè)計(jì)    1.4.1 可編程邏輯器件的設(shè)計(jì)流程    1.4.2 Xilinx公司的ISE開(kāi)發(fā)工具概述    1.4.3 Altera公司的QtlartusⅡ開(kāi)發(fā)工具概述  1.5 可編程邏輯器件選型    1.5.1 CPLD選擇的方法    1.5.2 FPGA選擇的方法  1..6 IP核簡(jiǎn)介  1.7 EDA技術(shù)的發(fā)展趨勢(shì)    1.7.1 可編程邏輯器件的發(fā)展趨勢(shì)    1.7.2 EAD軟件開(kāi)發(fā)工具的發(fā)展趨勢(shì)    1.7.3 設(shè)計(jì)輸入方式的發(fā)展趨勢(shì)第2章 VHDL硬件描述語(yǔ)言  2.1 HDL簡(jiǎn)介    2.1.1 代表性的HDL語(yǔ)言    2.1.2 VHDL程序結(jié)構(gòu)    2.1.3 程序包    2.1.4 庫(kù)    2.1.5 實(shí)體和結(jié)構(gòu)體    2.1.6 配置  2.2 VHDL基本要素  2.2.1 標(biāo)識(shí)符  2.2.2 數(shù)據(jù)對(duì)象    2.2.3 數(shù)據(jù)類(lèi)型    2.2.4 用戶(hù)自定義的數(shù)據(jù)類(lèi)型    2.2.5 數(shù)據(jù)類(lèi)型的轉(zhuǎn)換    2.2.6 操作符    2.2.7 函數(shù)類(lèi)屬性  2.3 VHDL的主要語(yǔ)句及應(yīng)用    2.3.1 進(jìn)程    2.3.2 過(guò)程及其函數(shù)    2.3.3 順序描述語(yǔ)句    2.3.4 信號(hào)賦值語(yǔ)句    2.3.5 COMPONENT語(yǔ)句和COMPONENT INSTANT語(yǔ)句    2.3.6 GENERIC語(yǔ)句和GENERATE語(yǔ)句第3章 典型VHDL設(shè)計(jì)實(shí)例  3.1 組合邏輯電路設(shè)計(jì)    3.1.1 邏輯門(mén)電路設(shè)計(jì)    3.1.2 常用編碼器設(shè)計(jì)  3.1.3 常用譯碼器設(shè)計(jì)    3.1.4 數(shù)據(jù)選擇器設(shè)計(jì)    3.1.5 數(shù)據(jù)分配器設(shè)計(jì)    3.1.6 數(shù)值比較器設(shè)計(jì)    3.1.7 算術(shù)運(yùn)算單元電路設(shè)計(jì)  3.2 時(shí)序邏輯電路設(shè)計(jì)    3.2.1 常用觸發(fā)器設(shè)計(jì)    3.2.2 常用數(shù)碼寄存器設(shè)計(jì)    3.2.3 常用計(jì)數(shù)器設(shè)計(jì)  3.3 有限狀態(tài)機(jī)設(shè)計(jì)    3.3.1 有限狀態(tài)機(jī)的建模    3.3.2 狀態(tài)編碼    3.3.3 Mealy型狀態(tài)機(jī)設(shè)計(jì)    3.3.4 Moore型狀態(tài)機(jī)設(shè)計(jì)  3.4 存儲(chǔ)器設(shè)計(jì)    3.4.1 只讀存儲(chǔ)器(ROM)的設(shè)計(jì)    3.4.2 隨機(jī)存儲(chǔ)器(RAM)的設(shè)計(jì)    3.4.3 順序存取存儲(chǔ)器的設(shè)計(jì)第4章 典型數(shù)字系統(tǒng)的設(shè)計(jì)  4.1 數(shù)字系統(tǒng)概述  4.2 數(shù)碼管動(dòng)態(tài)顯示掃描電路原理及設(shè)計(jì)  ……第5章 QuartusⅡ7.0開(kāi)發(fā)系統(tǒng)第6章 SOPC系統(tǒng)簡(jiǎn)介第7章 NiosⅡ嵌入式處理器及總線接口第8章 NiosⅡ系統(tǒng)嵌入式外設(shè)第9章 NiosⅡ系統(tǒng)設(shè)計(jì)第10章 一體化EDA開(kāi)發(fā)工具參考文獻(xiàn)

章節(jié)摘錄

第1章 可編程器件和EDA技術(shù)概述EDA(Electronic Design Automation,電子設(shè)計(jì)自動(dòng)化)技術(shù)是以計(jì)算機(jī)為工作平臺(tái),以融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù)最新成果而研制成的電子GAD通用軟件包為開(kāi)發(fā)環(huán)境,以電子系統(tǒng)設(shè)計(jì)為應(yīng)用方向的電子產(chǎn)品自動(dòng)化設(shè)計(jì)過(guò)程。它主要包含三方面的設(shè)計(jì)工作,即IC設(shè)計(jì)、電子電路設(shè)計(jì)和PCB設(shè)計(jì)。本章主要介紹EDA技術(shù)的主要特征和發(fā)展方向,常用的復(fù)雜可編程邏輯器件CPLD和現(xiàn)場(chǎng)可編程門(mén)陣列FPGA的工作原理,并對(duì)常用的EDA工具和設(shè)計(jì)技術(shù)進(jìn)行簡(jiǎn)單介紹。1.1 EDA技術(shù)的主要特征20世紀(jì)90年代以后,電子系統(tǒng)已經(jīng)從電路板級(jí)系統(tǒng)集成發(fā)展成為包括ASIC、FPGA和嵌入系統(tǒng)的多種模式,EDA產(chǎn)業(yè)已經(jīng)成為電子信息類(lèi)產(chǎn)品的支柱產(chǎn)業(yè)。過(guò)去幾十年內(nèi),IC設(shè)計(jì)方法經(jīng)歷了從手工設(shè)計(jì)(Hand Design)、電路仿真(Circuit Simulation)、原理圖輸入(Schematic Capture)和邏輯仿真(Logic Simulation)、布局(Placement)和布線(Routing)到綜合(Synthesis)幾個(gè)階段。近年來(lái),微電子技術(shù)以驚人的速度發(fā)展,其工藝水平已達(dá)到納米級(jí)。在一個(gè)芯片上可集成數(shù)百萬(wàn)乃至上千萬(wàn)個(gè)晶體管,工作速度可達(dá)到(3b/s的數(shù)量級(jí),這為制造出規(guī)模更大、速度和信息容量更高的芯片系統(tǒng)提供了基礎(chǔ)條件。集成電路設(shè)計(jì)技術(shù)的進(jìn)步也對(duì)EDA技術(shù)提出了更高的要求,大大地促進(jìn)了EDA技術(shù)的發(fā)展。以高級(jí)語(yǔ)言描述、系統(tǒng)仿真和綜合技術(shù)為特征的EDA技術(shù),代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向。EDA設(shè)計(jì)技術(shù)的基本流程是設(shè)計(jì)者按照“自上而下”的設(shè)計(jì)方法,對(duì)整個(gè)系統(tǒng)進(jìn)行方案設(shè)計(jì)和功能劃分。電子系統(tǒng)的關(guān)鍵電路一般用一片或幾片專(zhuān)用集成電路(ASIC)實(shí)現(xiàn),采用硬件描述語(yǔ)言(HDL)完成系統(tǒng)行為級(jí)設(shè)計(jì),最后通過(guò)綜合器和適配器生成最終的目標(biāo)器件。這種被稱(chēng)為高層次的電子設(shè)計(jì)方法,不僅極大地提高了系統(tǒng)的設(shè)計(jì)效率,而且使設(shè)計(jì)者擺脫了大量的輔助性工作,將精力集中于創(chuàng)造性的方案與概念的構(gòu)思上。近年來(lái)的EDA技術(shù)主要有以下特點(diǎn):(1)采用行為級(jí)綜合工具,設(shè)計(jì)層次由RTL級(jí)上升到了系統(tǒng)級(jí);(2)采用硬件描述語(yǔ)言描述大規(guī)模系統(tǒng),使數(shù)字系統(tǒng)的描述進(jìn)入抽象層次;(3)采用Floor.Planning技術(shù),使得復(fù)雜IC的描述規(guī)范化,做到在邏輯綜合早期設(shè)計(jì)階段就考慮到物理設(shè)計(jì)的影響。

編輯推薦

《可編程邏輯器件及EDA技術(shù)》可作為高等學(xué)校電子信息工程、電子科學(xué)與技術(shù)、通信工程、計(jì)算機(jī)科學(xué)與技術(shù)、電子信息工程、自動(dòng)控制等專(zhuān)業(yè)的本科生和相關(guān)專(zhuān)業(yè)研究生的教科書(shū)或參考教材,也可作為電子系統(tǒng)的工程設(shè)計(jì)人員和其他相關(guān)人員的自學(xué)參考書(shū)。

圖書(shū)封面

評(píng)論、評(píng)分、閱讀與下載


    可編程邏輯器件及EDA技術(shù) PDF格式下載


用戶(hù)評(píng)論 (總計(jì)8條)

 
 

  •   書(shū)內(nèi)容很新,跟隨時(shí)代的步伐!李老師是全國(guó)電子設(shè)計(jì)大賽的出題組專(zhuān)家??!
  •   這書(shū)是我們大學(xué)老師編的,還不錯(cuò)。
  •   老師處的 但是有不少的錯(cuò)誤
  •   質(zhì)量還不錯(cuò) 可以 就是發(fā)貨太慢 慢的讓人惡心
  •   好書(shū) 對(duì)學(xué)習(xí)很有幫助
  •   這本書(shū)是我們的教材,編的質(zhì)量很好。美中不足是這本書(shū)的紙張和一般,但是是不影響閱讀的。
  •   書(shū)的內(nèi)容是上乘的,就是裝訂的膠有點(diǎn)裂開(kāi)了,書(shū)背后也有明顯的壓痕,像我這樣愛(ài)書(shū)的人,是有點(diǎn)接受不了,但是當(dāng)當(dāng)網(wǎng)的服務(wù)態(tài)度不錯(cuò),好歹還是很不錯(cuò)的。。
  •   老師要買(mǎi)的 其實(shí)也沒(méi)怎么用到
 

250萬(wàn)本中文圖書(shū)簡(jiǎn)介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書(shū)網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7