數(shù)字邏輯與EDA設(shè)計實驗指導(dǎo)書

出版時間:2012-8  出版社:西安電子科技大學(xué)出版社  作者:丁磊  頁數(shù):184  
Tag標(biāo)簽:無  

內(nèi)容概要

  《高等學(xué)校電子與通信工程類專業(yè)“十二五”規(guī)劃教材:數(shù)字邏輯與EDA設(shè)計實驗指導(dǎo)書》是《數(shù)字邏輯與EDA設(shè)計》的配套用書?!陡叩葘W(xué)校電子與通信工程類專業(yè)“十二五”規(guī)劃教材:數(shù)字邏輯與EDA設(shè)計實驗指導(dǎo)書》共分5章:第1章主要介紹自主研發(fā)的能完全滿足本課程實驗需求的實驗箱;第2章介紹基于實驗箱的數(shù)字邏輯基本實驗;第3章介紹基于實驗箱的數(shù)字邏輯綜合實驗;第4章介紹數(shù)字邏輯基礎(chǔ)設(shè)計、仿真及在實驗箱上進(jìn)行驗證;第5章介紹數(shù)字邏輯綜合設(shè)計、仿真及驗證。書的最后還有T3個附錄,分別為ActelA3P030芯片資料、基于ActelA3P030的FPGA核心板引腳對應(yīng)表以及FPGA擴(kuò)展實驗板設(shè)計說明。《高等學(xué)校電子與通信工程類專業(yè)“十二五”規(guī)劃教材:數(shù)字邏輯與EDA設(shè)計實驗指導(dǎo)書》適合計算機(jī)、信息、自動化、電子專業(yè)的本科生、研究生及從事數(shù)字電路設(shè)計的工程人員使用。

書籍目錄

第1章 DIGILOGIC-2011實驗箱 1.1 實驗箱的性能特點 1.2 實驗箱的技術(shù)指標(biāo) 1.3 實驗箱介紹  1.3.1 實驗箱的組成  1.3.2 實驗箱及電路板外觀  1.3.3 實驗箱的電路板標(biāo)注  1.3.4 數(shù)碼管電路模塊說明  1.3.5 段式LED顯示驅(qū)動板  1.3.6 FPGA擴(kuò)展實驗板設(shè)計 1.4 實驗箱使用說明第2章 基于實驗箱的數(shù)字邏輯實驗 2.1 基本門電路  2.1.1 實驗?zāi)康? 2.1.2 實驗儀器及器件  2.1.3 實驗原理  2.1.4 實驗內(nèi)容  2.1.5 實驗報告要求 2.2 門電路綜合實驗  2.2.1 實驗?zāi)康? 2.2.2 實驗儀器及器件  2.2.3 實驗內(nèi)容 2.3 組合邏輯電路  2.3.1 實驗?zāi)康? 2.3.2 實驗儀器及器件  3.3.3 實驗內(nèi)容  2.3.4 實驗報告要求 2.4 時序邏輯電路  2.4.1 實驗?zāi)康? 2.4.2 實驗儀器及器件  2.4.3 實驗內(nèi)容  2.4.4 實驗報告要求第3章 數(shù)字邏輯綜合實驗 3.1 組合邏輯綜合實驗  3.1.1 實驗?zāi)康? 3.1.2 實驗儀器及器件  3.1.3 實驗內(nèi)容 3.2 時序邏輯綜合實驗  3.2.1 實驗?zāi)康? 3.2.2 實驗儀器及器件  3.2.3 實驗內(nèi)容第4章 數(shù)字邏輯基礎(chǔ)設(shè)計仿真及驗證 4.1 基本門電路  4.1.1 實驗?zāi)康? 4.1.2 實驗環(huán)境及儀器  4.1.3 實驗內(nèi)容  4.1.3 實驗步驟  4.1.5 實驗報告要求 4.2 組合邏輯電路  4.2.1 實驗?zāi)康? 4.2.2 實驗環(huán)境及儀器  4.3.3 實驗內(nèi)容  4.2.4 實驗步驟  4.2.5 實驗報告要求 4.3 時序邏輯電路  4.3.1 實驗?zāi)康? 4.3.2 實驗環(huán)境及儀器  4.3.3 實驗內(nèi)容  4.3.4 實驗步驟  4.3.5 實驗報告要求第5章 數(shù)字邏輯綜合設(shè)計仿真及驗證 5.1 基于VerilogHDL的組合邏輯綜合實驗  5.1.1 實驗?zāi)康? 5.1.2 實驗環(huán)境及儀器  5.1.3 實驗內(nèi)容 5.2 基于VerilogHDL的時序邏輯綜合實驗  5.2.1 實驗?zāi)康? 5.2.2 實驗環(huán)境及儀器  5.2.3 實驗內(nèi)容附錄A ActeIA3P030芯片資料附錄B 基于ActeIA3P030的FPGA核心板引腳對應(yīng)表附錄C FPGA擴(kuò)展實驗板設(shè)計說明參考文獻(xiàn)

編輯推薦

“數(shù)字邏輯與EDA設(shè)計”課程的主要目的是使學(xué)生掌握設(shè)計數(shù)字邏輯電路必需的理論基礎(chǔ)和基本方法,將理論與實踐緊密結(jié)合是本課程的主要特點。編者長期工作在教學(xué)、科研一線,隨著專業(yè)知識的不斷增加,積累了大量的經(jīng)驗,現(xiàn)欲將這些經(jīng)驗與更多的人分享,于是編寫了這本實驗指導(dǎo)書。    丁磊等編著的《數(shù)字邏輯與EDA設(shè)計實驗指導(dǎo)書》是《數(shù)字邏輯與EDA設(shè)計》的配套用書,書中歸納了大量具有典型代表性的實驗題目,并配有詳細(xì)的分析及實驗步驟。在內(nèi)容上,既要完成經(jīng)典的數(shù)字邏輯電路的驗證與設(shè)計,又要完成現(xiàn)代流行的利用EDA工具進(jìn)行的系統(tǒng)設(shè)計與驗證;在難度上,既有最基本的簡單驗證實驗,又有難度較高且較為實用的綜合設(shè)計實驗,以引導(dǎo)學(xué)生熟練掌握工具去設(shè)計更為復(fù)雜的電路。

圖書封面

圖書標(biāo)簽Tags

評論、評分、閱讀與下載


    數(shù)字邏輯與EDA設(shè)計實驗指導(dǎo)書 PDF格式下載


用戶評論 (總計0條)

 
 

 

250萬本中文圖書簡介、評論、評分,PDF格式免費下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號-7