EDA技術(shù)及應(yīng)用

出版時(shí)間:2011-7  出版社:西安電子科技大學(xué)出版社  作者:譚會(huì)生,張昌凡 編著  
Tag標(biāo)簽:無(wú)  

內(nèi)容概要

全書(shū)內(nèi)容分為五個(gè)部分,前四部分為正文,共七章,第五部分為附錄。第…一部分概括地闡述了EDA技術(shù)及應(yīng)用的基本概念、基礎(chǔ)知識(shí)和基本流程等內(nèi)容(第1章);第二部分比較全面地介紹了EDA技術(shù)的主要內(nèi)容,包括EDA的物質(zhì)基礎(chǔ)一一Latticc、Altera和Xilinx公司主流大規(guī)??删幊踢壿嬈骷﨔PGA/CPLD的品種規(guī)格、性能參數(shù)、組成結(jié)構(gòu)及原理(第2章),EDA的主流表達(dá)方式一一vcdlo舅HDL的編程基礎(chǔ)(第3章),EDA的設(shè)計(jì)開(kāi)發(fā)軟件一一Quartus
Il 8.0、ISE Design Suite lo.1、ispLEVER8.1、Synplify Pr0 7.6、ModelSim
SE
6.0等五個(gè)常用EDA工具軟件的安裝與使用(第4章),EDA的實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)一一通用EDA實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)基本組成、工作原理、性能指標(biāo)及GW48型EDA實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)的結(jié)構(gòu)及使用方法(第5章):第三部分提供了12個(gè)綜合性的EDA設(shè)計(jì)應(yīng)用實(shí)例(第6章),包括數(shù)字信號(hào)處理、智能控制、神經(jīng)網(wǎng)絡(luò)中經(jīng)常用到的高速PID控制器、FIR濾波器、CORDIC算法的應(yīng)用等實(shí)例;第四部分是EDA技術(shù)實(shí)驗(yàn)(第7章);第五部分是附錄,包括常用FPGA/CPLD管腳圖、利用www進(jìn)行EDA資源的檢索等內(nèi)容。
本書(shū)可供高等院校電子工程、通信工程、自動(dòng)化、計(jì)算機(jī)應(yīng)用、儀器儀表等信息工程類(lèi)及相近專(zhuān)業(yè)的本科生或研究生使用.也可作為相關(guān)人員的自學(xué)參考書(shū)。
  本書(shū)配有電子教案,有需要者可登錄出版社網(wǎng)站下載。

書(shū)籍目錄

第1章 緒論
1.1 EDA技術(shù)的涵義
1.2 EDA技術(shù)的發(fā)展歷程
1.3 EDA技術(shù)的主要內(nèi)容
1.3.1 大規(guī)??删幊踢壿嬈骷?br /> 1.3.2 硬件描述語(yǔ)言(HDL)
1.3.3 EDA軟件開(kāi)發(fā)工具
1.3.4 EDA實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)
1.4 EDA軟件系統(tǒng)的構(gòu)成
1.5 EDA工具的發(fā)展趨勢(shì)
1.6 EDA的工程設(shè)計(jì)流程
1.6.1 FPGA/CPLD工程設(shè)計(jì)流程
1.6.2 AS1C工程設(shè)計(jì)流程
1.7 數(shù)字系統(tǒng)的設(shè)計(jì)
1.7.1 數(shù)字系統(tǒng)的設(shè)計(jì)模型
1.7.2 數(shù)字系統(tǒng)的沒(méi)計(jì)方法
1.7.3 數(shù)字系統(tǒng)的設(shè)計(jì)準(zhǔn)則
1.7.4 數(shù)字系統(tǒng)的設(shè)計(jì)步驟
1.8 EDA技術(shù)的應(yīng)用展望
習(xí)題
第2章 大規(guī)模可編程邏輯器件
 2.1 可編程邏輯器件概述
  2.1.1 PLD的發(fā)展進(jìn)程
  2.1.2 PLD的分類(lèi)方法
 2.1.3 常用CPLD和FPGA標(biāo)識(shí)的含義
 2.2 Latt1ce公司的CPLD和FPGA器件
  2.2.1 Latt1ce公司的CPLD和FPGA概述
  2.2.2 1spLS1/pLS1系列CPLD結(jié)構(gòu)
  2.2.3 1spMACH系列CPLD結(jié)構(gòu)
 2.2.4 EC/ECP系列FPGA結(jié)構(gòu)
  2.2.5 XP/XP2系列FPGA結(jié)構(gòu)
 2.2.6 MachXO系列FPGA結(jié)構(gòu)
2.3 Altera公司的CPLD和FPGA器件
2.3.1 Altera公司的CPLD和FPGA概述
2.3.2 MAX系列CPLD結(jié)構(gòu)
2.3.3 MAX 11系列CPLD結(jié)構(gòu)
2.3.4 Cyclone系列FPGA結(jié)構(gòu)
2.3.5 Strat1x系列FPGA結(jié)構(gòu)
2.4 X1l1nx公司的CPLD和FPGA器件
2.4.1 X1l1nx公司的CPLD和FPGA概述
2.4.2 XC9500系列CPLD結(jié)構(gòu)
2.4.3 CoolRunner系列CPLD結(jié)構(gòu)
2.4.4 Spartan系列FPGA結(jié)構(gòu)
2.4.5 V1rtex系列FPGA結(jié)構(gòu)
2.5 CPLD和FPGA的編程與配置
2.5.1 CPLD和FPGA的編程配置
2.5.2 CPLD和FPGA的下載接口
2.5.3 CPLD器件的編程電路
2.5.4 FPGA器件的配置電路
2.6 FPGA和CPLD的開(kāi)發(fā)應(yīng)用選擇
習(xí)題:
第3章.Ver1log HDL編程基礎(chǔ)
3.1 Ver1log HDL簡(jiǎn)介
3.1.1 常用硬件描述語(yǔ)言簡(jiǎn)介
3.1.2 Ver1log HDL的優(yōu)點(diǎn)
3.1.3 Ver1log HDL程序設(shè)計(jì)約定
3.2 Ver1log HDL程序概述
3.2.1 Ver1log F1DL程序設(shè)計(jì)舉例
3.2.2 Ver1log HDL程序的基本結(jié)構(gòu)
3.2.3 Ver1log HDE程序的基本特性
3.2.4 Ver1log HDL程序的描述風(fēng)格
3.3 Ver1log HDL語(yǔ)言要素
3.3.1 Ver1logHE1L文字規(guī)則
3.3.2 Ver1log HDL數(shù)據(jù)類(lèi)型
  ……
第4章 常用EDA工具軟件操作指南
第5章 EDA實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)
第6章 Vrtilong HDL設(shè)計(jì)應(yīng)用實(shí)例
第7章 EDA技術(shù)實(shí)驗(yàn)
附錄1 常用FPGA/CPLD管腳圖
附錄2 利用WWWF進(jìn)行EDA資源的檢索
主要參考文獻(xiàn)

圖書(shū)封面

圖書(shū)標(biāo)簽Tags

無(wú)

評(píng)論、評(píng)分、閱讀與下載


    EDA技術(shù)及應(yīng)用 PDF格式下載


用戶評(píng)論 (總計(jì)7條)

 
 

  •   本書(shū)內(nèi)容充實(shí),言簡(jiǎn)意賅,是學(xué)習(xí)EDA的好書(shū)
  •   觀點(diǎn)獨(dú)特,內(nèi)容豐富,闡述簡(jiǎn)潔,實(shí)例多多,相當(dāng)不錯(cuò)!
  •   這書(shū)很適合初學(xué)者 西安科技大學(xué)果然厲害
  •   可以,雖然還沒(méi)真正看呢,不過(guò)決定好好學(xué)一下
  •   書(shū)是正版沒(méi)問(wèn)題,買(mǎi)錯(cuò)版本了悲催
  •   學(xué)學(xué)是好的
  •   因?yàn)槭菍?shí)踐性強(qiáng)的東西,所以書(shū)只起輔助作用,但是同學(xué)說(shuō)還行
 

250萬(wàn)本中文圖書(shū)簡(jiǎn)介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書(shū)網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7