EDA技術(shù)及應(yīng)用教程

出版時間:2012-8  出版社:北京航空航天大學(xué)出版社  作者:劉艷萍,高振斌 主編  頁數(shù):368  字數(shù):608000  

內(nèi)容概要

劉艷萍、高振斌主編的《EDA技術(shù)及應(yīng)用教程》根據(jù)工程設(shè)計、課堂教學(xué)和實驗教學(xué)的要求,以提高實際工程設(shè)計能力為目的,對EDA技術(shù)和相關(guān)知識做了系統(tǒng)和完整的介紹;重點講述了硬件描述語言(VHDL)及用VHDL
語言設(shè)計數(shù)字邏輯電路和數(shù)字系統(tǒng)的方法;這是電子系統(tǒng)設(shè)計方法上的一次革命性的變化,也是21世紀的電子工程師必須掌握的專門知識。
全書分為“理論篇”和“實踐篇”,共9章?!袄碚撈痹敿毥榻B了
EDA技術(shù)的基本知識、目標(biāo)器件的結(jié)構(gòu)原理、設(shè)計輸入方法、VHDL的設(shè)計優(yōu)化和邏輯綜合、綜合開發(fā)平臺以及EDA技術(shù)的典型應(yīng)用,每章都配有習(xí)題。
“實踐篇”介紹了常用的EDA技術(shù)工具的使用方法、實驗內(nèi)容和FPGA硬件系統(tǒng)設(shè)計。實驗內(nèi)容包含基礎(chǔ)性實驗、綜合性實驗和設(shè)計性實驗三部分,每一個實驗后面都有拓展性的思考題,給學(xué)習(xí)者足夠的思考空間和創(chuàng)造空間。
《EDA技術(shù)及應(yīng)用教程》可以作為高等院校電子工程、通信、工業(yè)自動化、計算機應(yīng)用技術(shù)等學(xué)科的本科生或研究生的電子設(shè)計或EDA技術(shù)課程的教材和實驗指導(dǎo)書,也可作為相關(guān)專業(yè)技術(shù)人員的參考書。

書籍目錄

第1章 緒論
1.1 EDA概述
1.1.1 EDA技術(shù)的發(fā)展歷程
1.1.2 EDA技術(shù)的基本特征
1.1.3 EDA技術(shù)實現(xiàn)目標(biāo)
1.1.4 硬件描述語言(HDL)
1.1.5 EDA技術(shù)的基本工具
1.1.6 EDA技術(shù)的基本設(shè)計思路
1.1.7 EDA系統(tǒng)級設(shè)計開發(fā)流程
1.1.8 EDA技術(shù)的發(fā)展趨勢
1.2 數(shù)字系統(tǒng)硬件設(shè)計概述
1.2.1 自底向上的設(shè)計
1.2.2 自頂向下的設(shè)計
1.2.3 自頂向下技術(shù)的設(shè)計流程及關(guān)鍵技術(shù)
1.2.4 設(shè)計描述風(fēng)格
習(xí)題
第2章 VHDL語言程序的基本要素及基本結(jié)構(gòu)
2.1 VHDL語言的命名規(guī)則
2.1.1 數(shù)字型文字
2.1.2 字符串型文字
2.1.3 標(biāo)識符
2.1.4 下標(biāo)名
2.1.5 段名
2.1.6 注釋
2.2 VHDL語言的數(shù)據(jù)類型及運算操作符
2.2.1 VHDL語言的客體及其分類
2.2.2 VHDL語言的數(shù)據(jù)類型
2.2.3 VHDL語言的運算操作符
2.3 VHDL語言設(shè)計的基本單元及其構(gòu)成
2.3.1 實體說明
2.3.2 構(gòu)造體
2.4 VHDL構(gòu)造體描述的幾種方法
2.4.1 行為描述
2.4.2 數(shù)據(jù)流描述
2.4.3 結(jié)構(gòu)描述
2.5 包集合、 庫及配置
2.5.1 庫
2.5.2 包集合
2.5.3 配置(CONFIGURATION)
2.6 VHDL子程序(SUBPROGRAM)
習(xí)題
第3章 VHDL語言的主要描述語句
3.1 順序處理語句
3.1.1 WAIT語句
3.1.2 斷言(ASSERT)語句
3.1.3 信號賦值語句
3.1.4 變量賦值語句
3.1.5 IF語句
3.1.6 CASE語句
3.1.7 LOOP語句
3.1.8 NEXT語句
3.1.9 EXIT語句
3.1.10 過程調(diào)用語句
3.2 并發(fā)處理語句
3.2.1 進程(PROCESS)語句
3.2.2 并發(fā)信號賦值(Concurrent Signal
Assignment)語句
3.2.3 條件信號賦值(Conditional Signal
Assignment)語句
3.2.4 選擇信號賦值(Selective Signal
Assignment)語句
3.2.5 并發(fā)過程調(diào)用(Concurrent Procedure
Call)語句
3.2.6 塊(BLOCK)語句
3.2.7 元件例化語句
3.2.8 生成語句
3.3 其他語句和說明
3.3.1 屬性(ATTRIBUTE)描述與定義語句
3.3.2 文本文件操作
習(xí)題
第4章 VHDL語言描述的典型電路設(shè)計
4.1 組合邏輯電路設(shè)計
4.1.1 編碼器、譯碼器與選擇器
4.1.2 加法器、求補器
4.1.3 三態(tài)門及總線緩沖器
4.2 時序電路設(shè)計
4.2.1 時鐘信號和復(fù)位信號
4.2.2 觸發(fā)器
4.2.3 寄存器
4.2.4 計數(shù)器
4.3 存儲器
4.3.1 存儲器描述中的一些共性問題
4.3.2 ROM(只讀存儲器)
4.3.3 RAM(隨機存儲器)
4.3.4 FIFO(先進先出堆棧)
4.4 有限狀態(tài)機(FSM)設(shè)計
4.4.1 一般狀態(tài)機的設(shè)計
4.4.2 狀態(tài)值編碼方式
4.4.3 剩余狀態(tài)與容錯技術(shù)
4.5 常用接口電路設(shè)計
4.5.1 常用顯示接口電路設(shè)計
4.5.2 常用鍵盤接口電路設(shè)計
4.5.3 常用AD轉(zhuǎn)換接口電路設(shè)計
4.5.4 MCS-51單片機與FPGA/CPLD總線接口邏輯設(shè)計
習(xí)題
第5章 系統(tǒng)設(shè)計
5.1 系統(tǒng)層次化設(shè)計
5.1.1 系統(tǒng)層次化設(shè)計思路簡介
5.1.2 利用VHDL語言實現(xiàn)系統(tǒng)層次化設(shè)計
5.1.3 利用圖形輸入法和VHDL語言混合輸入實現(xiàn)系統(tǒng)層次化設(shè)計
5.1.4 系統(tǒng)層次化設(shè)計應(yīng)用舉例
5.2 應(yīng)用系統(tǒng)設(shè)計舉例
5.2.1 多功能數(shù)字鐘設(shè)計
5.2.2 數(shù)據(jù)采集系統(tǒng)設(shè)計
5.3 SOPC技術(shù)簡介
5.3.1 SOPC簡介
5.3.2 IP模塊
習(xí)題
第6章 仿真與實現(xiàn)
6.1 仿 真
6.1.1 仿真方法
6.1.2 測試(平臺)程序的設(shè)計方法
6.1.3 仿真輸入信息的產(chǎn)生
6.1.4 仿真結(jié)果的處理
6.2 邏輯綜合
6.2.1 約束條件
6.2.2 工藝庫
6.2.3 邏輯綜合的基本步驟
6.3 設(shè)計實現(xiàn)
6.3.1 設(shè)計實現(xiàn)載體
6.3.2 設(shè)計實現(xiàn)過程
6.3.3 設(shè)計實現(xiàn)與邏輯綜合的關(guān)系
6.4 優(yōu)化設(shè)計
6.4.1 算法優(yōu)化
6.4.2 代碼優(yōu)化
6.4.3 綜合過程中的優(yōu)化
6.4.4 其他設(shè)計技巧
習(xí)題
第二篇 實踐篇
第7章 Xilinx軟件基本操作
7.1 Xilinx軟件流程
7.1.1 Xilinx軟件介紹
7.1.2 軟件流程
7.1.3 原理圖輸入方式
7.2 IP核的應(yīng)用
7.3 時序約束與時序分析初步
7.3.1 時序分析
7.3.2 時序約束
7.3.3 時序約束的實施
7.3.4 時序分析報告
第8章 VHDL設(shè)計實驗
8.1 Xilinx ISE14.1軟件的基本應(yīng)用實驗
8.1.1 ISE軟件的基本應(yīng)用
8.1.2 實驗要求
8.2 基礎(chǔ)實驗
8.2.1 編碼器
8.2.2 七段數(shù)碼管顯示譯碼
8.2.3 移位寄存器
8.2.4 計數(shù)器
8.2.5 售貨機
8.2.6 交通燈控制器
8.3 綜合實驗
8.3.1 多功能數(shù)字鐘實驗
8.3.2 乘法器實驗
8.4 設(shè)計型實驗
8.4.1 智力競賽搶答器設(shè)計
8.4.2 電子琴設(shè)計
8.4.3 電子乒乓球游戲系統(tǒng)
8.4.4 數(shù)字密碼鎖設(shè)計
8.4.5 數(shù)據(jù)采集與檢測系統(tǒng)
8.4.6 任意波形發(fā)生器設(shè)計
8.4.7 量程自動轉(zhuǎn)換的數(shù)字式頻率計
8.4.8 電梯自動控制器
8.4.9 8×8點陣漢字顯示綜合實驗
8.4.10 FIR濾波器的設(shè)計
第9章 FPGA硬件電路設(shè)計
9.1 FPGA硬件系統(tǒng)組成
9.1.1 FPGA硬件系統(tǒng)
9.1.2 FPGA引腳
9.2 電源電路
9.2.1 FPGA電源指標(biāo)要求
9.2.2 電源解決方案
9.2.3 FPGA系統(tǒng)板電源設(shè)計實例
9.3 FPGA 配置電路
9.3.1 Xilinx FPGA配置概述
9.3.2 FPGA的常用配置電路
9.4 存儲器接口電路設(shè)計
9.4.1 高速SDRAM存儲器
9.4.2 異步SRAM(ASRAM)存儲器
9.4.3 Flash存儲器
9.4.4 DDR2存儲器
9.5 人機界面電路設(shè)計
9.5.1 PS2鍵盤/鼠標(biāo)接口
9.5.2 按鍵與開關(guān)
9.5.3 顯示接口
9.6 處理器的接口設(shè)計
9.6.1 串行接口
9.6.2 并行接口
9.7 時鐘和復(fù)位電路
9.7.1 時鐘電路
9.7.2 復(fù)位電路
附錄A Quartus Ⅱ 9.0簡明教程
附錄B 基礎(chǔ)實驗程序
參考文獻

章節(jié)摘錄

版權(quán)頁:   插圖:   配置可以把特定的構(gòu)造體關(guān)聯(lián)到一個確定的實體。正如“配置”一詞本身的含義一樣,配置語句是用來為較大的系統(tǒng)設(shè)計提供管理和工程組織的。通常在大而復(fù)雜的VHDL工程設(shè)計中,配置語句可以為實體指定或配屬一個構(gòu)造體,如可以利用配置使仿真器為同一實體配置不同的構(gòu)造體以使設(shè)計者比較不同構(gòu)造體的仿真差別,或者為例化的各元件實體配置指定的構(gòu)造體,從而形成一個所希望的例化元件層次構(gòu)成的設(shè)計實體。 配置也是VHDL設(shè)計實體中的一個基本單元,在綜合或仿真中,可以利用配置語句為確定整個設(shè)計提供許多有用的信息。例如對以元件例化的層次方式構(gòu)成的VHDL設(shè)計實體,就可以把配置語句的設(shè)置看成是一個元件表,以配置語句指定在頂層設(shè)計中的每一元件與一特定構(gòu)造體相銜接,或賦予特定屬性。配置語句還能用于對元件的端口連接進行重新安排等。VHDL綜合器允許將配置規(guī)定對應(yīng)一個設(shè)計實體中的最高層設(shè)計單元,但只支持對最頂層的實體進行配置。通常情況下,配置主要用在VHDL的行為仿真中。

編輯推薦

《普通高校"十二五"規(guī)劃教材:EDA技術(shù)及應(yīng)用教程》每一個實驗后面都有拓展性的思考題,給學(xué)習(xí)者足夠的思考空間和創(chuàng)造空間,可以作為高等院校電子工程、通信、工業(yè)自動化、計算機應(yīng)用技術(shù)等學(xué)科的本科生或研究生的電子設(shè)計或EDA技術(shù)課程的教材和實驗指導(dǎo)書,也可作為相關(guān)專業(yè)技術(shù)人員的參考書。

圖書封面

評論、評分、閱讀與下載


    EDA技術(shù)及應(yīng)用教程 PDF格式下載


用戶評論 (總計2條)

 
 

  •   真品哦,和我們所學(xué)的教材都是一樣的,
  •   書是正版,質(zhì)量很好,內(nèi)容等待老師講解。我買完才漲價,真好
 

250萬本中文圖書簡介、評論、評分,PDF格式免費下載。 第一圖書網(wǎng) 手機版

京ICP備13047387號-7