EDA技術與VHDL

出版時間:2009-9  出版社:清華大學出版社  作者:潘松,黃繼業(yè) 編著  頁數(shù):390  
Tag標簽:無  

前言

結合EDA技術許多最新的發(fā)展成果,以及我國高等教育更加注重學生的工程實踐能力與自主創(chuàng)新能力的培養(yǎng),本書作為第3版,進行了較大變動,刪除了已過時的內容,加入了EDA技術的一些最新發(fā)展技術,還包括新版QuartusII9.0、DSPBuilder9.0、MATL,AB7.8的詳細的、新的使用方法,Altera新近推出的CycloneIII系列FPGA的開發(fā)技術,以及相關的示例和實驗,使全書在為學生提供更多新知識的同時給出大量新實驗,更加注重學生創(chuàng)造力的培養(yǎng)。書中絕大部分章節(jié)都安排了針對性較強的習題、實驗和設計項目,使學生對每一章的課堂教學效果都能及時通過實驗得以強化。本書大部分實驗中,除給出詳細的實驗目的、實驗原理、實驗思考題和實驗報告要求外,還包含多個實驗項目(層次)。如第一層次是與該章內容相關的驗證性實驗,本書提供了詳細的并被驗證的設計程序和實驗方法,學生只需將書中提供的設計程序輸入計算機,并按要求進行編譯仿真,在實驗系統(tǒng)上實現(xiàn)即可;第二層次是在上一實驗基礎上作進一步的發(fā)揮;此后的實驗層次屬于自主設計或創(chuàng)新性質的實驗,包括一些大學生電子設計競賽的設計項目。授課教師可以根據本課程的實驗學時數(shù)和教學實驗的要求,以及學生的興趣程度,以不同的方式或形式布置給學生完成。本書第1章的內容是EDA和VHDL概述,第2章的內容是FPGA/CPLD硬件原理,第3章的內容主要是VHDL基礎。

內容概要

本書系統(tǒng)地介紹了EDA技術冪FIVHDL硬件描述語言,將VHDL的基礎知識、編程技巧和實用方法與實際工程開發(fā)技術在先進的EDA軟件設計平臺——Quartusll 9.0和硬件平臺——Cyclone III FPGA上很好地結合起來,使讀者能通過本書的學習迅速了解并掌握EDA技術的基本理論和工程開發(fā)實用技術,并為后續(xù)的深入學習和發(fā)展打下堅實的理論與實踐基礎。    依據高校課堂教學和實驗操作的規(guī)律與要求,并以提高學生的實際工程設計能力和自主創(chuàng)新能力為目的,全書內容作了恰當?shù)木幣?,共?個部分:EDA技術的概述;FPGA/CPLD器件的結構原理;VHDL實用技術;Quartus及IP核的詳細使用方法;基于VHD的16位OPU設計技術;基于MATLAB和DSP Bui Ider平臺的詳細JEDA設計技術和大量實用系統(tǒng)設計示例。除個別章節(jié)外,各章都安排了相應的習題和針對性強的實驗和設計示例。書中列舉的VHDL示例,都經編譯通過或經硬件測試。        本書主要面向高等院校本、??艵DA技術和VHDL語言基礎課,推薦作為電子工程、通信、工業(yè)自動化、計算機應用技術、電子對抗、儀器儀表、數(shù)字信號或圖像處理等學科專業(yè)與相關的實驗指導課的授課教材或主要參考書,同時也可作為電子設計競賽、FPGA開發(fā)應用的自學參考書。    對于授課教師還能獲贈本書cAl教學課件與實驗指導課件,這部分內容可到清華大學出版社網站(WWW.tuptsi nghua.edu.on)下載。

書籍目錄

第1章 概述 1.1 電子設計自動化技術及其發(fā)展 1.2 電子設計自動化應用對象 1.3  VHDL 1.4 EDA的優(yōu)勢 1.5 面向FPGA的開發(fā)流程  1.5.1 設計輸入  1.5.2 綜合  1.5.3 布線布局(適配)  1.5.4 仿真  1.5.5 下載和硬件測試  1.6 Quartus II概述  1.7 IP核  1.8 EDA技術的發(fā)展趨勢第2章 PLD硬件特性與編程技術  2.1 PLD概述  2.1.1 PLD的發(fā)展歷程  2.1.2 PLD的分類  2.2 低密度PLD可編程原理  2.2.1  電路符號表示  2.2.2 PROM  2.2.3  PLA  2.2.4 PAL  2.2.5  GAL 2.3 CPLD的結構與可編程原理 2.4 FPGA的結構與工作原理  2.4.1 查找表邏輯結構  2.4.2 Cyclone系列器件的結構與原理  2.5 硬件測試技術  2.5.1  內部邏輯測試  2.5.2 JTAG邊界掃描測試 2.6 FPGA/CPLD產品概述  2.6.1 Lattice公司CPLD器件系列  2.6.2 Xilinx公司的FPGA和CPLD器件系列  2.6.3 Altera公司的FPGA和CPLD器件系列  2.6.4 Actel公司的FPGA器件  2.6.5 Altera公司的FPGA配置方式與配置器件  2.7 編程與配置  2.7.1 JTAG方式的在系統(tǒng)編程  2.7.2 使用PC并行口配置FPGA  2.7.3 FPGA配置器件第3章 VHDL基礎  3.1 VHDL基本語法  3.1.1 組合電路描述  3.1.2  VHDL結構  3.2 時序電路描述  3.2.1 D觸發(fā)器  3.2.2 時序描述VHDL規(guī)則  3.2.3  時序電路的不同表述方法  3.3 全加器的VHDL描述  3.3.1 半加器描述  3.3.2 CASE語句  3.3.3 例化語句  3.4 計數(shù)器設計  3.5 一般計數(shù)器的VHDL設計方法  3.5.1  相關語法  3.5.2 程序功能分析  3.5.3 移位寄存器設計  3.6 數(shù)據對象  3.6.1  常數(shù)  3.6.2 變量  3.6.3 信號  3.6.4 進程中的信號賦值與變量賦值  3.7 IF語句概述  3.8 進程語句歸納  3.8.1 進程語句格式  3.8.2 進程結構組成  3.8.3 進程要點  3.9 并行賦值語句概述  3.10 雙向和三態(tài)電路信號賦值……第4章 QUARTUS II使用方法第5章 VHDL狀態(tài)機第6章 16位CPU設計第7章 VHDL語句第8章 VHDL結構第9章 DSP Builder設計初步 第10章 DSP Builder設計深入附錄A EDA實驗系統(tǒng)簡介參考文獻

章節(jié)摘錄

插圖:第1章概述本章首先介紹EDA技術和硬件描述語言及其發(fā)展過程,然后介紹基于EDA技術和VHDL的設計流程,以及本書示例和實驗推薦的主要EDA設計工具——Quartus II。1.1 電子設計自動化技術及其發(fā)展微電子技術的進步主要表現(xiàn)在大規(guī)模集成電路加工技術即半導體工藝技術的發(fā)展上,使得表征半導體工藝水平的線寬已經達到了60rim,并還在不斷地縮小,而在硅片單位面積上,集成了更多的晶體管。集成電路設計正在不斷地向超大規(guī)模、極低功耗和超高速的方向發(fā)展,專用集成電路ASIC(Application Specific Integrated Circuit) 的設計成本不斷降低,在功能上,現(xiàn)代的集成電路已能夠實現(xiàn)單片電子系統(tǒng)SOC(System On a Chip) ?,F(xiàn)代電子設計技術的核心已日趨轉向基于計算機的電子設計自動化技術,即EDA(Electronic Design Automation) 技術。EDA技術就是依賴功能強大的計算機,在EDA工具軟件平臺上,對以硬件描述語言HDL(Hardware Description Language) 為系統(tǒng)邏輯描述手段完成的設計文件,自動地完成邏輯編譯、化簡、分割、綜合、布局布線以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)既定的電子線路系統(tǒng)功能。EDA技術使得設計者的工作僅限于利用軟件的方式,即利用硬件描述語言和EDA軟件來完成對系統(tǒng)硬件功能的實現(xiàn),這是電子設計技術的一個巨大進步。 EDA技術在硬件實現(xiàn)方面融合了大規(guī)模集成電路制造技術、Ic版圖設計、ASIC測試和封裝、FPGA(Field Programmable Gate Array) /CPLD(Complex Programmable LogicDevice) 編程下載和自動測試等技術;在計算機輔助工程方面融合了計算機輔助設計(CAD) 、計算機輔助制造(CAM) 、計算機輔助測試(CAT) 、計算機輔助工程(CAE) 技術以及多種計算機語言的設計概念;而在現(xiàn)代電子學方面則容納了更多的內容,如電子線路設計理論、數(shù)字信號處理技術、數(shù)字系統(tǒng)建模和優(yōu)化技術及其高頻的長線技術理論等。因此,EDA技術為現(xiàn)代電子理論和設計的表達與實現(xiàn)提供了可能性。正因為EDA技術豐富的內容以及與電子技術各學科領域的相關性,其發(fā)展的歷程同大規(guī)模集成電路設計技術、計算機輔助工程、可編程邏輯器件,以及電子設計技術和工藝的發(fā)展是同步的。就過去近30年的電子技術的發(fā)展歷程,可大致將EDA技術的發(fā)展分為以下3個階段。

編輯推薦

《EDA技術與VHDL(第3版)》:高等學校電子科學與工程教材

圖書封面

圖書標簽Tags

評論、評分、閱讀與下載


    EDA技術與VHDL PDF格式下載


用戶評論 (總計45條)

 
 

  •   學校的教材在當當買了,EDA技術與VHDL(第3版) EDA技術與VHDL
  •   EDA技術與VHDL(第3版)
  •   通信專業(yè)的書,講VHDL的,還不錯
  •   不錯,經典的FPGA教材,例子也寫的很好,找了好久才找到,值得
  •   本書很實用,適合入門級的學者,通俗易懂,方便學習,能使新手快熟的上手。
  •   整天來說這本說還不錯,但Quartus2的使用寫的不大好
  •   不錯,是學校的教材,對學習編程有幫助
  •   快遞及時,書印刷可以,內容正在學習中
  •   書紙質很好 讀者有一種很愜意的感覺
  •   上選修課的書,老師推薦的
  •   書絕對是正版的,質量印刷都相當好!發(fā)貨速度也很快!很好!
  •   正在學習中,希望物有所值哦
  •   不錯,質量蠻好,物流也挺快的
  •   感覺要比第四版的排版好。。
  •   學校必須買的教材,價格跟學校訂的差不多
  •   學校的教材在當當定了
  •   很好的書,值得買啊?。。?!
  •   發(fā)貨很快,晚上訂單,第二天中午就到。書也不錯,正版新書。
  •   書籍質量不錯,發(fā)貨送貨速度快~~~
  •   同學讓幫忙買的
  •   挺好的,沒啥缺點
  •   感覺還行,呵呵
  •   是一本不錯的EDA教材,適合從入門到提高,但實驗部分的敘述還要寫得再清楚一些。
  •   幫我的工程師買的書,先是在學校圖書館借來看了一個學期續(xù)借了倆月不得不還了,愛不釋手遂決定在當當上買一本。收到后發(fā)現(xiàn)書的紙質很一般,封皮也比較薄,還不如我們學校圖書館那本質量好啊~ 不過對于真正要讀這本書的人來說這些是并無大礙的,況且,在我剛買回來后的第一天就下了一天的大雨,而工程師同學把這本他心愛的書裝書包里背了一整天,等晚上才發(fā)現(xiàn)愛書早已泡得變了形!于是便像唐僧曬經書一樣一頁一頁地拿著吹風機吹干書頁,心疼無比。。。=,=
    總之是本相當經典的好書,干這一行的自然會懂得它的意義,狠值得推薦哦~ O(∩_∩)O~
  •   內容不錯,紙質也不錯,挺好。
  •   大部分的書還可以 送貨還挺快的
  •   書印刷相當清楚,就是紙質有點暗。
  •   老師的指定用書,還沒細看,潘松老師的書也是很經典的
  •   書本質量還是不錯的,送貨速度還是很快,3天就到了。
  •   感覺就是一本拼湊的書,除了VHDL還可以外,其它的一般。
  •   中國作者編的書,很難有上心的。這書看來就像某個地方的黨委書記念稿子,空話屁話一通,沒有任何脈絡,東拼西湊的四不像
  •   亞馬遜的服務態(tài)度是很不錯的,但是這本書很差。相關實驗教材根本不開放給校園外讀者和學生,我給清華大學出版社打電話問他們有沒有此書的實驗資料,他們居然說不是老師就不給,我問他們你們的書是不是開放給所有人的,他們說是的,但是除了老師能買到全本的書的內容別人只能買一半,太垃圾了?。?!
  •   書本的紙張很好 很有學習價值
  •   書不錯,就是我沒用心看!
  •   這本書二手的話很新,感覺不錯
  •   這是我們老師推薦的書。
  •   挺好的,質量很好,就是拿東西是現(xiàn)金找不開,又跑回來拿了銀行卡
  •   發(fā)貨速度還行,書是正版,總的說還行吧
  •   東西收到之后感覺很好
  •   物品符合預期,包裝不是很好
  •   學校的教材,全新的,價格比預定要便宜,速度也很快,會更多關注的
  •   該作者寫了幾本同類型的書?;径际亲约撼约?。賺了不少稿費吧!
  •   我們要的教材,不錯的一本書
  •   幫同學買的書,質量還不錯
  •   還不錯,這是我們的課本,還行的,,課本和學校的沒有區(qū)別,喜歡
 

250萬本中文圖書簡介、評論、評分,PDF格式免費下載。 第一圖書網 手機版

京ICP備13047387號-7