數(shù)字系統(tǒng)設(shè)計自動化

出版時間:2005-7  出版社:清華大學(xué)  作者:邊計年 等編著  頁數(shù):563  字數(shù):774000  

內(nèi)容概要

本書是1996年出版的《數(shù)字系統(tǒng)設(shè)計自動化》一書的第2版,是為高等學(xué)校計算機、電子工程等有關(guān)專業(yè)的研究生和高年級學(xué)生編寫的教科書,著重介紹關(guān)于數(shù)字系統(tǒng)的電子設(shè)計自動化(Electronic Design Automation,EDA)各個領(lǐng)域的基礎(chǔ)理論和最新發(fā)展。第2版在第1版的基礎(chǔ)上對大部分內(nèi)容做了更新,力求反映最新發(fā)展。本書力求做到深入淺出而又不失嚴密性,其中包含作者多年來教學(xué)科研工作的成果。本書既為EDA工具的開發(fā)者提供理論基礎(chǔ),也為使用EDA工具的設(shè)計者提供必要的專業(yè)知識。    本書共分9章,第1章介紹EDA的各個領(lǐng)域概貌; 第2章介紹硬件描述語言,著重介紹VHDL,并簡要介紹Verilog; 第3章介紹邏輯模擬和VHDL模擬技術(shù),以及最新出現(xiàn)的硬件驗證語言; 第4章介紹組合電路和時序電路的邏輯綜合技術(shù); 第5章介紹高層次綜合技術(shù); 第6章介紹等價性驗證和模型檢驗的形式驗證方法; 第7章簡要介紹故障診斷和測試碼生成的基本技術(shù); 第8章介紹EDA領(lǐng)域的最新發(fā)展; 第9章介紹EDA工具MAX+plus Ⅱ的使用方法。

作者簡介

邊計年,清華大學(xué)計算機系教授,博士生導(dǎo)師。1970年畢業(yè)于清華大學(xué)自動控制系,畢業(yè)后在清華大學(xué)任教至今,其中1985年至1986年作為訪問學(xué)者在日本京都大學(xué)進修。研究方向為面向系統(tǒng)芯片(SOC)的系統(tǒng)設(shè)計方法學(xué),包括系統(tǒng)描述、軟硬件劃分與通信綜合、與布圖結(jié)合的高層次綜合、

書籍目錄

第1章 概論 1.1 電子設(shè)計自動化技術(shù)發(fā)展的回顧 1.2 數(shù)字系統(tǒng)自動設(shè)計的流程 1.3 從EDA的角度觀察VLS  1.3.1 VLSI的分類  1.3.2 芯片布圖模式  1.3.3 可編程邏輯器件 1.4 EDA的主要領(lǐng)域  1.4.1 硬件描述語言  1.4.2 模擬驗證  1.4.3 綜合技術(shù)  1.4.4 數(shù)字系統(tǒng)形式驗證  1.4.5 測試診斷  1.4.6 版圖設(shè)計  1.4.7 數(shù)據(jù)庫 1.5 集成電路快速發(fā)展對設(shè)計自動化的挑戰(zhàn)與機遇 本章小結(jié) 習(xí)題 參考文獻第2章 硬件描述語言 2.1 硬件描述  2.1.1 電路模型的描述  2.1.2 硬件描述語言 2.2 VHDL的基本結(jié)構(gòu)  2.2.1 VHDL模塊模型  2.2.2 對象及其數(shù)據(jù)類型  2.2.3 表達式  2.2.4 子程序——過程與函數(shù)  2.2.5 程序包與設(shè)計庫 2.3 VHDL層次化結(jié)構(gòu)模型  2.3.1 實體聲明  2.3.2 元件例化  2.3.3 配置指定 2.4 VHDL的順序行為描述  2.4.1 進程71  2.4.2 順序信號賦值語句  2.4.3 變量賦值語句  2.4.4 條件控制語句  2.4.5 循環(huán)控制語句  2.4.6 順序斷言語句  2.4.7 順序過程調(diào)用  2.4.8 返回語句  2.4.9 空語句 2.5 VHDL的并行行為描述  2.5.1 并行信號賦值語句  2.5.2 并行斷言語句  2.5.3 并行過程調(diào)用語句  2.5.4 決斷信號 2.6 VHDL行為模型的執(zhí)行過程  2.6.1 事項處理與事件  2.6.2 信號賦值中的延遲處理  2.6.3 模擬時鐘與模擬周期  2.6.4 實例 2.7 VHDL的復(fù)合并行語句  2.7.1 block語句  2.7.2 生成語句 2.8 大型電路設(shè)計舉例  2.8.1 交通燈控制器第3章 模擬驗證第4章 邏輯綜合第5章 高層次綜合第6章 形式驗證第7章 測試與可測性設(shè)計第8章 面向深亞微米工藝的EDA技術(shù)第9章 用EDA工具設(shè)計集成電路附錄A VHDL預(yù)定義環(huán)境附錄B 英漢名詞對照表

媒體關(guān)注與評論

書評本書特點:    ·本書是為高等學(xué)校計算機、電子工程等有關(guān)專業(yè)的研究生和高年級學(xué)生編寫的教科書,著重介紹數(shù)字系統(tǒng)設(shè)計自動化各個領(lǐng)域的基礎(chǔ)理論和最新發(fā)展。    ·本書的宗旨是:兼顧基本理論基礎(chǔ)和最新發(fā)展技術(shù),力求達到二者的平衡。對第1版中基本理論的內(nèi)容大部分保留,同時有代表性地介紹最新的發(fā)展趨勢和帶有理論意義的一些內(nèi)容。

編輯推薦

本書是為高等學(xué)校計算機、電子工程等有關(guān)專業(yè)的研究生和高年級學(xué)生編寫的教科書,著重介紹數(shù)字系統(tǒng)設(shè)計自動化各個領(lǐng)域的基礎(chǔ)理論和最新發(fā)展。    本書共九章,第2章在介紹硬件描述語言VHDL的同時,對Verillog也作了簡要的介紹。第3章中在介紹傳統(tǒng)的邏輯模擬和VHDL模擬算法之外,還介紹了為提供模擬測試基準(zhǔn)而最新出現(xiàn)的硬件驗證語言Jada,目的是給讀者提供新的概念。在綜合技術(shù)方面保留了邏輯模擬和高層模擬的基本理論和算法,此外還增加了時延驅(qū)動綜合的內(nèi)容,介紹了如何在綜合和再綜合過程中考慮互連線引起的延遲,并簡要介紹了軟硬件協(xié)同設(shè)計的內(nèi)容,單獨作為第8章。形式驗證部分是發(fā)展最快的領(lǐng)域之一,一些技術(shù)如基于BDD的等價性驗證和符號模型檢驗已經(jīng)得到實際應(yīng)用,這一部分內(nèi)容基本是重寫的。最后,為了使讀者掌握使用工具的方法,在最后一章中介紹了EDA工具MAX+pIusll,介紹其基本使用方法,以及常見電路實用的可綜合描述方法。

圖書封面

評論、評分、閱讀與下載


    數(shù)字系統(tǒng)設(shè)計自動化 PDF格式下載


用戶評論 (總計2條)

 
 

  •   書有些問題,但不太影響閱讀,可以接受!
  •   整體上比較滿意,也沒有什么太大的破損
 

250萬本中文圖書簡介、評論、評分,PDF格式免費下載。 第一圖書網(wǎng) 手機版

京ICP備13047387號-7