EDA技術(shù)與VHDL

出版時(shí)間:2009-3  出版社:北京大學(xué)出版社  作者:宋振輝,杜德 主編  頁(yè)數(shù):265  

前言

  EDA技術(shù)是20世紀(jì)90年代初以來(lái)迅速發(fā)展起來(lái)的現(xiàn)代電子工程領(lǐng)域的一門(mén)新技術(shù)。它是以可編程邏輯器件CPLD/FPC-A為載體,計(jì)算機(jī)為工作平臺(tái),EDA工具軟件為開(kāi)發(fā)環(huán)境,硬件描述語(yǔ)言HDL作為電子系統(tǒng)功能描述方式,電子系統(tǒng)設(shè)計(jì)為應(yīng)用方向的電子產(chǎn)品自動(dòng)化設(shè)計(jì)過(guò)程?! 檫m應(yīng)EDA技術(shù)的發(fā)展和EDA技術(shù)教學(xué)實(shí)驗(yàn)的要求,突出實(shí)驗(yàn)中EDA技術(shù)的實(shí)用性,以及面向工程實(shí)際的特點(diǎn)和電子設(shè)計(jì)的自主創(chuàng)新性,本書(shū)在實(shí)驗(yàn)類型和內(nèi)容上都力求接近工程實(shí)際,注重學(xué)生設(shè)計(jì)能力和自主創(chuàng)新能力的培養(yǎng),注重與工程實(shí)際相結(jié)合的動(dòng)手能力的培養(yǎng)。另一方面,在CPLD/FPGA器件的理論知識(shí)方面盡量遵循能夠理解即可的原則,主要掌握選擇器件和使用器件的方法。  本書(shū)在內(nèi)容的安排上,既考慮EDA技術(shù)本身的系統(tǒng)性和完整性,又考慮了EDA技術(shù)的實(shí)用性和實(shí)踐性,做到理論與實(shí)踐的有機(jī)結(jié)合。無(wú)論是器件和硬件描述語(yǔ)言的講解,還是EDA工具軟件、EDA應(yīng)用系統(tǒng)的介紹,都以當(dāng)前被廣泛應(yīng)用的主流內(nèi)容為主,力求做到內(nèi)容新穎、重點(diǎn)突出、講解精煉、強(qiáng)化實(shí)踐。EDA是一門(mén)實(shí)踐性很強(qiáng)的技術(shù),本書(shū)特別注重對(duì)讀者應(yīng)用能力的培養(yǎng)。通過(guò)對(duì)第6章、第7章及第9章大量的設(shè)計(jì)實(shí)例的學(xué)習(xí),讀者可以較好地掌握用VHDL進(jìn)行電子系統(tǒng)設(shè)計(jì)的方法。第9章有多個(gè)實(shí)驗(yàn),讀者完全可以通過(guò)這些實(shí)際操作,很好地掌握EDA的開(kāi)發(fā)設(shè)計(jì)方法?! ”緯?shū)是作者在多年開(kāi)發(fā)和教授EDA技術(shù)經(jīng)驗(yàn)的基礎(chǔ)上編寫(xiě)而成的。書(shū)中所有設(shè)計(jì)程序均在QuartusⅡ開(kāi)發(fā)環(huán)境下通過(guò)了仿真,并在杭州康芯公司生產(chǎn)的GW48一PK2/CK實(shí)驗(yàn)與開(kāi)發(fā)系統(tǒng)上通過(guò)了硬件測(cè)試,實(shí)例對(duì)大部分實(shí)驗(yàn)現(xiàn)象和結(jié)果進(jìn)行了詳細(xì)分析。

內(nèi)容概要

本書(shū)主要內(nèi)容有Altera公司可編程器件及器件的選用、QuartusⅡ開(kāi)發(fā)工具的使用;VHDL硬件描述語(yǔ)言及豐富的數(shù)字電路和電子數(shù)字系統(tǒng)EDA設(shè)計(jì)實(shí)例。    本書(shū)在取材和編排上,循序漸進(jìn),并注重理論聯(lián)系實(shí)際。全書(shū)共分為9章:前3章闡述了Altera公司可編程器件CPLD和FPGA芯片的結(jié)構(gòu)及特點(diǎn),以及EDA技術(shù)設(shè)計(jì)與開(kāi)發(fā)過(guò)程;第4、5章詳盡介紹了VHDL的基本語(yǔ)言和實(shí)用技術(shù),以及QuartusⅡ開(kāi)發(fā)工具的使用;第6、7章列舉大量設(shè)計(jì)實(shí)例來(lái)介紹典型數(shù)字系統(tǒng)的設(shè)計(jì)方法,幫助讀者很好地掌握EDA的開(kāi)發(fā)設(shè)計(jì)方法;第8章通過(guò)介紹GW48-PK2/CK實(shí)驗(yàn)與開(kāi)發(fā)系統(tǒng)的使用方法,使讀者了解VHDL邏輯設(shè)計(jì)所必需的硬件仿真和實(shí)驗(yàn)驗(yàn)證的方法與過(guò)程;第9章列出的實(shí)驗(yàn)程序均在QuartusⅡ開(kāi)發(fā)環(huán)境下通過(guò)了仿真,并在GW48-PK2/CK實(shí)驗(yàn)與開(kāi)發(fā)系統(tǒng)上通過(guò)了硬件測(cè)試,所選實(shí)例對(duì)大部分實(shí)驗(yàn)現(xiàn)象和結(jié)果進(jìn)行了詳細(xì)分析。    本書(shū)實(shí)用性、應(yīng)用性強(qiáng),適合作為高職高專類院校的專業(yè)教材,也可供有關(guān)專業(yè)人員參考。

書(shū)籍目錄

第1章 EDA技術(shù)概述  1.1 EDA技術(shù)及其發(fā)展    1.1.1 EDA技術(shù)的發(fā)展    1.1.2 EDA技術(shù)的涵義    1.1.3 EDA技術(shù)的基本特征  1.2 EDA技術(shù)的主要內(nèi)容及主要的EDA廠商    1.2.1 EDA技術(shù)的主要內(nèi)容    1.2.2 主要EDA廠商概述  1.3 EDA技術(shù)實(shí)現(xiàn)目標(biāo)    1.3.1 超大規(guī)??删幊踢壿嬈骷?   1.3.2 半定制或全定制ASIC    1.3.3 混合ASIC  1.4 EDA技術(shù)應(yīng)用    1.4.1 EDA技術(shù)應(yīng)用形式    1.4.2 EDA技術(shù)應(yīng)用場(chǎng)合  1.5 EDA技術(shù)的發(fā)展趨勢(shì)    1.5.1 可編程器件的發(fā)展趨勢(shì)    1.5.2 軟件開(kāi)發(fā)工具的發(fā)展趨勢(shì)    1.5.3 輸入方式的發(fā)展趨勢(shì)  本章小結(jié)  思考題和習(xí)題第2章 大規(guī)??删幊踢壿嬈骷? 2.1 可編程邏輯器件概述    2.1.1 PLD的發(fā)展進(jìn)程    2.1.2 PLD的種類及分類方法  2.2 簡(jiǎn)單可編程邏輯器件    2.2.1 PLD電路的表示方法及有關(guān)符號(hào)    2.2.2 PROM基本結(jié)構(gòu)    2.2.3 PLA基本結(jié)構(gòu)    2.2.4 PAL基本結(jié)構(gòu)    2.2.5 GAL基本結(jié)構(gòu)  2.3 復(fù)雜可編程邏輯器件    2.3.1 CPLD基本結(jié)構(gòu)    2.3.2 Altera公司器件  2.4 現(xiàn)場(chǎng)可編程邏輯器件    2.4.1 FPGA整體結(jié)構(gòu)    2.4.2 Xilinx公司FPGA器件  2.5 在系統(tǒng)可編程邏輯器件    2.5.1 ispLSl/pLSl的結(jié)構(gòu)    2.5.2 Lattice公司ispLSI系列器件  2.6 FPGA和CPLD的開(kāi)發(fā)應(yīng)用    2.6.1  CPLD和FPGA的編程與配置    2.6.2  FPGA和CPLD的性能比較    2.6.3 FPGA和CPLD的    應(yīng)用選擇    本章小結(jié)    思考題和習(xí)題第3章 EDA設(shè)計(jì)流程與開(kāi)發(fā)  3.1 EDA設(shè)計(jì)流程    3.1.1 設(shè)計(jì)輸入    3.1.2 綜合    3.1.3 適配    3.1.4 時(shí)序仿真與功能仿真    3.1.5 編程下載    3.1.6 硬件測(cè)試  3.2 ASIC及其設(shè)計(jì)流程    3.2.1 ASIC設(shè)計(jì)方法    3.2.2 一般的ASIC設(shè)計(jì)流程  3.3 可編程邏輯器件的開(kāi)發(fā)環(huán)境 ……第4章 硬件描述語(yǔ)言VHDL第5章 QuartusⅡ軟件及其應(yīng)用第6章 VHDL應(yīng)用實(shí)例第7章 狀態(tài)機(jī)設(shè)計(jì)第8章 EDA實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)第9章 EDA技術(shù)實(shí)驗(yàn)參考文獻(xiàn)

章節(jié)摘錄

  第1章 EDA技術(shù)概述  1.1 EDA技術(shù)及其發(fā)展  1.1.1 EDA技術(shù)的發(fā)展  現(xiàn)代電子設(shè)備如工作生活中所使用的微型計(jì)算機(jī)、手機(jī)、VCD,工業(yè)上使用的智能儀器儀表,網(wǎng)絡(luò)設(shè)備中使用的交換機(jī)、路由器,通信設(shè)備中雷達(dá)、微波設(shè)備等現(xiàn)代電子裝置,其核心構(gòu)成都是數(shù)字電子系統(tǒng)。隨著微電子技術(shù)和計(jì)算機(jī)技術(shù)的發(fā)展,集成電路不斷更新?lián)Q代,出現(xiàn)了現(xiàn)場(chǎng)可編程邏輯器件,數(shù)字電子系統(tǒng)的設(shè)計(jì)方法和設(shè)計(jì)手段也發(fā)生了很大的變化。進(jìn)入20世紀(jì)末,EDA(電子設(shè)計(jì)自動(dòng)化)技術(shù)的發(fā)展和普及給電子系統(tǒng)的設(shè)計(jì)帶來(lái)了革命性的變化,并已滲透到電子系統(tǒng)設(shè)計(jì)的各個(gè)領(lǐng)域。由于EDA技術(shù)豐富的內(nèi)容以及與電子技術(shù)各學(xué)科領(lǐng)域的相關(guān)性,其發(fā)展的歷程同大規(guī)模集成電路設(shè)計(jì)技術(shù)、計(jì)算機(jī)輔助工程、可編程邏輯器件以及電子設(shè)計(jì)技術(shù)和工藝的發(fā)展是同步的。就過(guò)去近30年電子技術(shù)的發(fā)展歷程來(lái)看,大致可以將EDA技術(shù)的發(fā)展分為3個(gè)階段?! ?0世紀(jì)70年代,在集成電路制作方面,MOS工藝已得到廣泛的應(yīng)用??删幊踢壿嫾夹g(shù)及其器件已經(jīng)問(wèn)世,計(jì)算機(jī)作為一種運(yùn)算工具已在科研領(lǐng)域得到廣泛應(yīng)用;而在后期,CAD的概念已見(jiàn)雛形?!?/pre>

圖書(shū)封面

評(píng)論、評(píng)分、閱讀與下載


    EDA技術(shù)與VHDL PDF格式下載


用戶評(píng)論 (總計(jì)0條)

 
 

 

250萬(wàn)本中文圖書(shū)簡(jiǎn)介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書(shū)網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7