圖說(shuō)VHDL數(shù)字電路設(shè)計(jì)

出版時(shí)間:2009-1  出版社:化學(xué)工業(yè)出版社  作者:王振紅  頁(yè)數(shù):142  

前言

電子技術(shù)的發(fā)展非常迅猛,高新技術(shù)日新月異,特別是專用集成電路(ASIC)設(shè)計(jì)技術(shù)的日趨進(jìn)步和完善,推動(dòng)了數(shù)字電路系統(tǒng)的設(shè)計(jì)和發(fā)展,使它從單純的ASIC設(shè)計(jì)走向了系統(tǒng)設(shè)計(jì)和單片系統(tǒng)設(shè)計(jì)。傳統(tǒng)的電子技術(shù)設(shè)計(jì)方法,即從單元電路入手到整體電路的設(shè)計(jì)、“固定功能集成電路+連線”的設(shè)計(jì)、自下而上的設(shè)計(jì),已不能夠滿足市場(chǎng)的需要。根據(jù)系統(tǒng)的功能和行為要求,利用計(jì)算機(jī)輔助設(shè)計(jì)自上而下逐層完成相應(yīng)的描述,并與大規(guī)??删幊唐骷嘟Y(jié)合,使設(shè)計(jì)出的電路系統(tǒng)速度更快、體積更小、重量更輕、功耗更小、穩(wěn)定性更高,大大提高了產(chǎn)品的競(jìng)爭(zhēng)能力。電子設(shè)計(jì)自動(dòng)化(EDA)工具給電子設(shè)計(jì)帶來(lái)了巨大變革,特別是硬件描述語(yǔ)言的出現(xiàn)和發(fā)展,解決了用傳統(tǒng)的電路原理設(shè)計(jì)大系統(tǒng)工程時(shí)的諸多不便,成為電子電路設(shè)計(jì)人員的最得力助手。其實(shí),早在20世紀(jì)80年代后期,各個(gè)ASIC研制和生產(chǎn)廠商為了縮短產(chǎn)品開(kāi)發(fā)周期,提高產(chǎn)品在市場(chǎng)上的競(jìng)爭(zhēng)力,就相繼開(kāi)發(fā)了用于各自目的的硬件描述語(yǔ)言,如ABEL、AHDL等。但是由于沒(méi)有統(tǒng)一的標(biāo)準(zhǔn),這些語(yǔ)言的普及受到了限制。1987年12月,IEEE對(duì)美國(guó)國(guó)防部開(kāi)發(fā)的超高速集成電路硬件描述語(yǔ)言(Very High Speed Integrate Circuit Hardware Description Language, VHDL)進(jìn)行了標(biāo)準(zhǔn)化的工作,得到廣大用戶的一致歡迎。自此以后,VHDL成了數(shù)字電路系統(tǒng)設(shè)計(jì)的“世界語(yǔ)”。各個(gè)CAD廠商都努力使自己的電子設(shè)計(jì)軟件與VHDL兼容,各高等院校紛紛開(kāi)設(shè)了VHDL設(shè)計(jì)課程,國(guó)內(nèi)也有越來(lái)越多的設(shè)計(jì)人員開(kāi)始學(xué)習(xí)和使用VHDL進(jìn)行電路系統(tǒng)的設(shè)計(jì)。近年來(lái),可編程邏輯器件的開(kāi)發(fā)生產(chǎn)和銷售規(guī)模以驚人的速度增長(zhǎng)。發(fā)展集成電路事業(yè)是我國(guó)制定的新世紀(jì)的重要發(fā)展目標(biāo),也是經(jīng)濟(jì)全球化新形勢(shì)下的科技挑戰(zhàn)。編寫本書的目的,是通過(guò)大量的設(shè)計(jì)實(shí)例,由淺入深、由簡(jiǎn)到繁地宣傳和推廣VHDL,以提高電子設(shè)計(jì)領(lǐng)域人員的設(shè)計(jì)能力。本書的43個(gè)設(shè)計(jì)實(shí)例由淺入深,且配有圖、注釋,所有設(shè)計(jì)實(shí)例從編程、編譯、仿真、布局布線和適配,直至配置/下載和硬件測(cè)試,都運(yùn)用了VHDL設(shè)計(jì)方法,并且經(jīng)過(guò)實(shí)踐檢驗(yàn)是正確的。本書由王振紅編著,北方工業(yè)大學(xué)信息工程學(xué)院張常年教授擔(dān)任本書的主審,在認(rèn)真審閱的同時(shí)提出了許多寶貴意見(jiàn)。張東彥、宋鵬、曹淑琴、周燕平、康曉麓、趙徐森、劉淑敏、吳曉林、韓宇龍、勝智勇等對(duì)本書的編寫工作給予了很多關(guān)心和支持,在此對(duì)他們表示衷心的感謝。

內(nèi)容概要

本書主要介紹了VHDL數(shù)字電路設(shè)計(jì)的主要內(nèi)容和方法,包括VHDL的數(shù)據(jù)和表達(dá)式、VHDL的順序描述語(yǔ)句、VHDL的并行描述語(yǔ)句、 VHDL的時(shí)鐘信號(hào)描述方法、VHDL的有限狀態(tài)機(jī)的設(shè)計(jì)、VHDL數(shù)字電路設(shè)計(jì)實(shí)例。全書43個(gè)設(shè)計(jì)實(shí)例由淺入深,并配有圖示和注釋。     本書旨在提高讀者VHDL編程能力,力求簡(jiǎn)單、易懂,使讀者容易接受。本書適用于電類專業(yè)本科、大專、中專學(xué)生及工程技術(shù)人員使用。

書籍目錄

第1章 VHDL的數(shù)據(jù)和表達(dá)式 1.1 VHDL程序的特點(diǎn) 1.2 VHDL程序的基本結(jié)構(gòu)  1.2.1 庫(kù)說(shuō)明  1.2.2 實(shí)體說(shuō)明  1.2.3 結(jié)構(gòu)體說(shuō)明 1.3 VHDL的數(shù)據(jù)  1.3.1 基本標(biāo)志符  1.3.2 數(shù)據(jù)對(duì)象  1.3.3 數(shù)據(jù)類型 1.4 VHDL的表達(dá)式  1.4.1 邏輯運(yùn)算符  1.4.2 算術(shù)運(yùn)算符  1.4.3 關(guān)系運(yùn)算符  1.4.4 并置運(yùn)算符  1.4.5 操作符的運(yùn)算優(yōu)先級(jí)第2章 VHDL的順序描述語(yǔ)句 2.1 信號(hào)賦值語(yǔ)句和變量賦值語(yǔ)句 2.2 if語(yǔ)句 2.3 case語(yǔ)句 2.4 forloop循環(huán)語(yǔ)句 2.5 null語(yǔ)句第3章 VHDL的并行描述語(yǔ)句 3.1 進(jìn)程語(yǔ)句  3.1.1 進(jìn)程語(yǔ)句的敏感信號(hào)表  3.1.2 進(jìn)程語(yǔ)句的啟動(dòng)  3.1.3 進(jìn)程語(yǔ)句的同步 3.2 并發(fā)信號(hào)賦值語(yǔ)句 3.3 條件信號(hào)賦值語(yǔ)句 3.4 選擇信號(hào)賦值語(yǔ)句 3.5 元件例化語(yǔ)句 3.6 生成語(yǔ)句第4章 VHDL的時(shí)鐘信號(hào)描述方法 4.1 時(shí)鐘信號(hào)的VHDL描述方法  4.1.1 時(shí)鐘邊沿的描述  4.1.2 時(shí)序電路中進(jìn)程敏感信號(hào)是時(shí)鐘信號(hào) 4.2 時(shí)序電路中復(fù)位信號(hào)的VHDL描述方法  4.2.1 同步復(fù)位  4.2.2 異步復(fù)位第5章 VHDL的有限狀態(tài)機(jī)的設(shè)計(jì) 5.1 有限狀態(tài)機(jī)的基本概念 5.2 一個(gè)Moore型有限狀態(tài)機(jī)的設(shè)計(jì)實(shí)例第6章 VHDL數(shù)字電路設(shè)計(jì)實(shí)例 6.1 門電路VHDL程序設(shè)計(jì)  6.1.1 與非門電路  6.1.2 二輸入或非門電路  6.1.3 二輸入異或門電路  6.1.4 反向器門電路  6.1.5 三態(tài)門電路  6.1.6 單向總線緩沖器  6.1.7 雙向總線緩沖器 6.2 組合邏輯電路VHDL程序設(shè)計(jì)  6.2.1 監(jiān)視交通信號(hào)燈工作狀態(tài)的邏輯電路  6.2.2 8線-3線編碼器  6.2.3 8線-3線優(yōu)先編碼器  6.2.4 二-十進(jìn)制編碼器 ……參考文獻(xiàn)

章節(jié)摘錄

插圖:當(dāng)VHDL程序執(zhí)行到該語(yǔ)句時(shí),首先要進(jìn)行條件判斷,然后才可以進(jìn)行信號(hào)賦值操作。如果滿足該條件,就將該條件前面那個(gè)表達(dá)式的值賦給目標(biāo)信號(hào);如果不滿足該條件,就去判斷下一個(gè)條件;最后一個(gè)表達(dá)式?jīng)]有條件,也就是說(shuō)在前面的條件都不滿足時(shí),就將該表達(dá)式的值賦給目標(biāo)信號(hào)。程序3.5給出了一個(gè)使用了條件信號(hào)賦值語(yǔ)句的VHDL程序,可以看出,它描述的是一個(gè)簡(jiǎn)單的二輸入與門電路。VHDL程序的結(jié)構(gòu)體中含有一個(gè)條件信號(hào)賦值語(yǔ)句,它的作用是根據(jù)不同的輸入信號(hào)條件把不同的值賦給輸出信號(hào)。由程序3.5產(chǎn)生的and gate電路符號(hào)如圖3.4所示。

圖書封面

評(píng)論、評(píng)分、閱讀與下載


    圖說(shuō)VHDL數(shù)字電路設(shè)計(jì) PDF格式下載


用戶評(píng)論 (總計(jì)1條)

 
 

  •   很不錯(cuò)的入門書籍,里面有很多例子,作為初學(xué)是非常好的教材,而且不枯燥。推薦閱讀
 

250萬(wàn)本中文圖書簡(jiǎn)介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7