EDA技術(shù)與實(shí)踐教程

出版時(shí)間:2009-8  出版社:電子工業(yè)出版社  作者:宋烈武 編  頁數(shù):199  

內(nèi)容概要

本書提供了參考授課計(jì)劃及自學(xué)建議;第1章概述了EDA技術(shù)的主要內(nèi)容;第2章簡(jiǎn)要介紹了FPGA/CPLD的結(jié)構(gòu)與工作原理及其配置與編程方法;第3章介紹了Quartus Ⅱ設(shè)計(jì)流程及6個(gè)設(shè)計(jì)實(shí)例;第4章介紹了硬件描述語言VHDL語法概要;第5章用VHDL給出了常用單元電路的設(shè)計(jì);第6章由淺入深精選了6個(gè)基礎(chǔ)訓(xùn)練項(xiàng)目;第7章精選了6個(gè)綜合訓(xùn)練項(xiàng)目。本教材提供的所有VHDL代碼均在Altera推廣型開發(fā)工具Quartus Ⅱ 9.0+SP1上綜合通過,部分例題給出了仿真結(jié)果,另附Quartus Ⅱ開發(fā)工具及相關(guān)資料DVD光盤一張。    本書可作為各高職院校電子類、通信類及計(jì)算機(jī)類等相關(guān)專業(yè)二年級(jí)及以上學(xué)生的教材,也可作為電子技術(shù)工程技術(shù)人員的參考用書。

書籍目錄

第一篇  EDA技術(shù)基礎(chǔ)  第1章  概述    1.1  EDA技術(shù)的含義    1.2  EDA技術(shù)的主要內(nèi)容    1.3  EDA技術(shù)的特點(diǎn)及發(fā)展趨勢(shì)  第2章  可編程邏輯器件    2.1  概述    2.2  大規(guī)??删幊踢壿嬈骷?   2.3  Altera新型系列器件簡(jiǎn)介    2.4  FPGA/CPLD器件的配置與編程  第3章  QuartusⅡ設(shè)計(jì)基礎(chǔ)    3.1  概述    3.2  QuartusⅡ的安裝與授權(quán)    3.3  QuartusⅡ設(shè)計(jì)流程    3.4  QuartusⅡ設(shè)計(jì)實(shí)例  第4章  硬件描述語言VHDL語法概要    4.1  概述    4.2  VHDL程序基本結(jié)構(gòu)    4.3  VHDL語言要素    4.4  VHDL的基本描述語句    4.5  子程序、程序包和配置  第5章  常用模塊電路的VHDL設(shè)計(jì)    5.1  常用組合邏輯電路的設(shè)計(jì)    5.2  時(shí)序邏輯電路的設(shè)計(jì)    5.3  狀態(tài)機(jī)的設(shè)計(jì)    5.4  存儲(chǔ)器的設(shè)計(jì)第二篇  實(shí)戰(zhàn)訓(xùn)練  第6章  基礎(chǔ)訓(xùn)練    6.1  一位全加器原理圖輸入設(shè)計(jì)    6.2  譯碼顯示電路的設(shè)計(jì)    6.3  含異步清零和同步時(shí)鐘使能的4位加法計(jì)數(shù)器的設(shè)計(jì)    6.4  數(shù)控分頻器的設(shè)計(jì)    6.5  用狀態(tài)機(jī)實(shí)現(xiàn)序列檢測(cè)器的設(shè)計(jì)    6.6  簡(jiǎn)易正弦信號(hào)發(fā)送器的設(shè)計(jì)  第7章  綜合訓(xùn)練    7.1  鍵盤輸入電路的設(shè)計(jì)    7.2  動(dòng)態(tài)輸出4位十進(jìn)制頻率計(jì)的設(shè)計(jì)    7.3  數(shù)字鐘的設(shè)計(jì)    7.4  DDS信號(hào)源的設(shè)計(jì)    7.5  基于Dsp Builder使用IP Core的FIR濾波器的設(shè)計(jì)    7.6  基于NiosⅡ的SD卡音樂播放器的實(shí)現(xiàn)  附錄    A.DE2基本資料    B.基于MAXⅡ EPM240芯片的WZ型最小系統(tǒng)實(shí)驗(yàn)板基本資料    C.FPGA/CPLD主要廠商及開發(fā)工具簡(jiǎn)介  參考文獻(xiàn)

章節(jié)摘錄

  第1章 概述  1.1 EDA技術(shù)的含義  信息社會(huì)的標(biāo)志產(chǎn)品是電子產(chǎn)品。現(xiàn)代電子產(chǎn)品的性能越來越高,復(fù)雜度越來越大,更新步伐也越來越快。實(shí)現(xiàn)這種進(jìn)步的主要原因就是微電子技術(shù)和電子技術(shù)的發(fā)展。前者以微細(xì)加工技術(shù)為代表,目前已進(jìn)入超深亞微米階段,可以在幾平方厘米的芯片上集成幾千萬個(gè)晶體管;后者的核心就是EDA技術(shù)。  EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)的縮寫,在20世紀(jì)90年代初從計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)輔助工程(CAE)的概念發(fā)展而來的?! 鹘y(tǒng)意義上或者狹義上的EDA技術(shù)是指可編程技術(shù),是以計(jì)算機(jī)為工具,融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù)的最新成果而開發(fā)出的EDA通用軟件包,設(shè)計(jì)者在EDA軟件平臺(tái)上,用硬件描述語言(HDL)完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。利用EDA技術(shù)進(jìn)行電子系統(tǒng)的設(shè)計(jì),具有以下幾個(gè)特征:①用軟件的方式設(shè)計(jì)硬件;②從軟件到硬件的轉(zhuǎn)換是自動(dòng)完成的;③設(shè)計(jì)過程中可以進(jìn)行各種仿真;④系統(tǒng)可現(xiàn)場(chǎng)編程,在線升級(jí);⑤整個(gè)系統(tǒng)可集成在一個(gè)芯片上,體積小、功耗低、可靠性高。EDA技術(shù)是現(xiàn)代電子設(shè)計(jì)的發(fā)展趨勢(shì)?!  ?/pre>

編輯推薦

  任務(wù)驅(qū)動(dòng),行動(dòng)導(dǎo)向,工學(xué)結(jié)合,學(xué)生主體,過程考核。

圖書封面

評(píng)論、評(píng)分、閱讀與下載


    EDA技術(shù)與實(shí)踐教程 PDF格式下載


用戶評(píng)論 (總計(jì)0條)

 
 

 

250萬本中文圖書簡(jiǎn)介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7