EDA技術(shù)實(shí)用教程

出版時(shí)間:2008-9  出版社:國防工業(yè)出版社  作者:孟慶輝,劉輝,等  頁數(shù):330  

內(nèi)容概要

全書共分9章,主要講解EDA工具軟件Quartus II 5.0的操作,硬件描述語言VHDL及其應(yīng)用實(shí)例,可編程邏輯器件的結(jié)構(gòu)組成和工作原理,GW48EDA/SOPC實(shí)驗(yàn)開發(fā)系統(tǒng)的使用方法。全書理論與實(shí)踐操作緊密結(jié)合,體系合理、內(nèi)容全面、概念清晰、通俗易懂,有助于讀者系統(tǒng)學(xué)習(xí)和掌握所學(xué)知識。    本書可作為本科生教材,也適合初學(xué)者培訓(xùn)使用。

書籍目錄

第1章 EDA技術(shù)概述  1.1 EDA技術(shù)及其發(fā)展    1.1.1  EDA技術(shù)的涵義    1.1.2  EDA技術(shù)的發(fā)展史  1.2 EDA技術(shù)的基本工具    1.2.1 設(shè)計(jì)輸入編輯器    1.2.2 仿真器    1.2.3 HDL綜合器    1.2.4 適配器(布局、布線器)    1.2.5 下載器(編程器)  1.3 EDA技術(shù)的基本設(shè)計(jì)思路    1.3.1 EDA電路級設(shè)計(jì)    1.3.2 EDA系統(tǒng)級設(shè)計(jì)  1.4 EDA的設(shè)計(jì)流程    1.4.1 設(shè)計(jì)準(zhǔn)備    1.4.2 設(shè)計(jì)輸入    1.4.3 設(shè)計(jì)處理    1.4.4 設(shè)計(jì)檢驗(yàn)    1.4.5 器件編程與配置  1.5 EDA的發(fā)展趨勢    1.5.1  可編程器件的發(fā)展趨勢    1.5.2 軟件開發(fā)工具的發(fā)展趨勢    1.5.3  輸入方式的發(fā)展方向第2章 Quartus Ⅱ 5.0設(shè)計(jì)軟件  2.1 概述  2.2 Quartus Ⅱ 5.0軟件安裝    2.2.1  系統(tǒng)配置要求    2.2.2 Quartus Ⅱ 5.0軟件的安裝    2.2.3 Quartus Ⅱ 5.0軟件的授權(quán)  2.3 Quartus Ⅱ 5.0軟件的設(shè)計(jì)操作    2.3.1 設(shè)計(jì)輸入    2.3.2 創(chuàng)建工程    2.3.3  建立圖形設(shè)計(jì)文件    2.3.4 建立文本編輯文件    2.3.5  建立存儲器編輯器文件  2.4 Quartus Ⅱ 5.0設(shè)計(jì)項(xiàng)目的編譯    2.4.1 設(shè)計(jì)綜合    2.4.2 編譯器窗口    2.4.3 編譯器選項(xiàng)設(shè)置    2.4.4 引腳分配    2.4.5 啟動編譯器    2.4.6 查看適配結(jié)果  2.5 Quartus Ⅱ 5.0設(shè)計(jì)項(xiàng)目的仿真驗(yàn)證    2.5.1  創(chuàng)建一個(gè)仿真波形文件    2.5.2 設(shè)計(jì)仿真    2.5. 仿真結(jié)果分析  2.6 時(shí)序分析    2.6.1  時(shí)序分析基本參數(shù)    2.6.2 指定時(shí)序要求    2.6.3  完成時(shí)序分析    2.6.4 查看時(shí)序分析結(jié)果  2.7 器件編程    2.7.1 完成器件編程    2.7.2 編程硬件驅(qū)動安裝第3章 VHDL語言程序設(shè)計(jì)  3.1 VHDL概述    3.1.1  常用硬件描述語言簡介    3.1.2 VHDL及其優(yōu)點(diǎn)    3.1.3 VHDL程序設(shè)計(jì)舉例  3.2 VHDL程序基本結(jié)構(gòu)    3.2.1  實(shí)體    3.2.2 結(jié)構(gòu)體    3.2.3  庫    3.2.4 程序包    3.2.5 配置  3.3 VHDL語言要素    3.3.1  VHDL語言數(shù)據(jù)對象及其分類    3.3.2 VHDL語言數(shù)據(jù)類型    3.3.3 VHDL語言運(yùn)算操作符  3.4 VHDL語言順序語句    3.4.1 賦值語句 ……第4章 數(shù)字系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)第5章 提高電路設(shè)計(jì)效率的常用方法第6章 大規(guī)模可編程邏輯器件第7章 EDA技術(shù)綜合設(shè)計(jì)應(yīng)用第8章 EDA實(shí)驗(yàn)開發(fā)系統(tǒng)第9章 EDA技術(shù)實(shí)驗(yàn)參考文獻(xiàn)

章節(jié)摘錄

  第1章 EDA技術(shù)概述  1.1 EDA技術(shù)及其發(fā)展  1.1.1 EDA技術(shù)的涵義  20世紀(jì)末,數(shù)字電子技術(shù)得到了飛速發(fā)展,有力地推動和促進(jìn)了社會生產(chǎn)力的發(fā)展和社會信息化的提高,數(shù)字電子技術(shù)的應(yīng)用已經(jīng)滲透到人類生活的各個(gè)方面。從計(jì)算機(jī)到手機(jī),從數(shù)字電話到數(shù)字電視,從家用電器到軍用設(shè)備,從工業(yè)自動化到航天技術(shù),都廣泛采用了數(shù)字電子技術(shù)。  微電子技術(shù),即大規(guī)模集成電路加工技術(shù)的進(jìn)步是現(xiàn)代數(shù)字電子技術(shù)發(fā)展的基礎(chǔ)。目前,在硅片單位面積上集成的晶體管數(shù)目越來越多,1978年推出的8086微處理器芯片集成的晶體管數(shù)目是4萬只,到2000年推出的Pentium IV微處理芯片的集成度達(dá)到了4200萬只晶體管。原來需要成千上萬只電子元器件組成的一臺計(jì)算機(jī)主板電路,現(xiàn)在僅用幾片超大規(guī)模集成電路就可以代替,現(xiàn)代集成電路已經(jīng)能夠?qū)崿F(xiàn)單片電子系統(tǒng)(System On Chip,SOC)的功能?! ‖F(xiàn)代電子設(shè)計(jì)技術(shù)的核心是EDA技術(shù)。EDA技術(shù)就是依靠功能強(qiáng)大的電子計(jì)算機(jī),在EDA工具軟件平臺上,對以硬件描述語言(Hardware Description Language,HDL)為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化和仿真,直至下載到可編程邏輯器件CPLD/FPGA或?qū)S眉呻娐沸酒?,?shí)現(xiàn)既定的電子電路設(shè)計(jì)功能。EDA技術(shù)使得電路設(shè)計(jì)者的工作僅限于利用硬件描述語言和EDA軟件平臺來完成對系統(tǒng)硬件功能的實(shí)現(xiàn),極大地提高了設(shè)計(jì)效率,縮短了設(shè)計(jì)周期,節(jié)省了設(shè)計(jì)成本。

圖書封面

評論、評分、閱讀與下載


    EDA技術(shù)實(shí)用教程 PDF格式下載


用戶評論 (總計(jì)0條)

 
 

 

250萬本中文圖書簡介、評論、評分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號-7