EDA基礎(chǔ)與應(yīng)用

出版時(shí)間:2010-1  出版社:于潤偉 機(jī)械工業(yè)出版社 (2010-01出版)  作者:于潤偉 編  頁數(shù):177  

前言

計(jì)算機(jī)技術(shù)和電子技術(shù)的不斷發(fā)展給數(shù)字系統(tǒng)的設(shè)計(jì)方法帶來了全新的變革,基于EDA(電子設(shè)計(jì)自動化)技術(shù)的設(shè)計(jì)方法正在成為現(xiàn)代數(shù)字系統(tǒng)設(shè)計(jì)的主流。電子工程技術(shù)人員利用可編程邏輯器件和EDA開發(fā)軟件,使用硬件描述語言就可以設(shè)計(jì)出所需的數(shù)字系統(tǒng),減少了開發(fā)成本和開發(fā)時(shí)間。高職高專以就業(yè)為導(dǎo)向、以職業(yè)能力培養(yǎng)為主體的指導(dǎo)思想,必然要把教學(xué)重點(diǎn)從以邏輯門和觸發(fā)器等通用器件為載體、以真值表和邏輯方程為表達(dá)方式、以手工調(diào)試的傳統(tǒng)數(shù)字電路設(shè)計(jì)方法向以可編程邏輯器件為載體、以硬件描述語言為表達(dá)方式、以EDA技術(shù)為調(diào)試手段的現(xiàn)代數(shù)字系統(tǒng)設(shè)計(jì)方法轉(zhuǎn)換。針對EDA技術(shù)的特點(diǎn)和發(fā)展趨勢,本書介紹了EDA技術(shù)的基礎(chǔ)知識、EDA開發(fā)軟件QuartusII的使用方法、VHDI。硬件描述語言的語法規(guī)則,通過設(shè)計(jì)編碼器、計(jì)數(shù)器、分頻器、存儲器、電子密碼鎖、智力競賽搶答器等典型電路,由淺入深、循序漸進(jìn)地學(xué)習(xí)EDA技術(shù)。全書共分為以下6章:第1章主要講解EDA技術(shù)的特點(diǎn)和內(nèi)涵,可編程邏輯器件和數(shù)字電路的基礎(chǔ)知識,將傳統(tǒng)的數(shù)字電子技術(shù)與現(xiàn)代數(shù)字系統(tǒng)設(shè)計(jì)方法相銜接,保持知識的連貫性,使讀者對EDA技術(shù)有所認(rèn)識。第2章通過具體的設(shè)計(jì)項(xiàng)目,講解EDA軟件0uartusII9.0的獲得、安裝和使用方法,展示了利用EDA軟件對數(shù)字系統(tǒng)進(jìn)行編輯、編譯和仿真的全部過程,讀者能夠了解QllartusII9.0的功能,并學(xué)會使用。第3章主要講解VHDI。硬件描述語言的數(shù)據(jù)結(jié)構(gòu)和語法規(guī)則,通過一些簡單的實(shí)例來說明其程序結(jié)構(gòu)和編寫特點(diǎn),讀者能夠認(rèn)識和分析簡單的VHDI。程序。第4章通過設(shè)計(jì)數(shù)據(jù)比較器、加法器、編碼器、計(jì)數(shù)器和寄存器等電路,學(xué)習(xí)數(shù)字系統(tǒng)的設(shè)計(jì)方法和步驟,熟練使用QuartusIl9.0,讀者能夠?qū)W會設(shè)計(jì)文件的編輯、編譯、波形仿真和編程下載的全部過程。第5章通過設(shè)計(jì)分頻器、按鍵輸入電路、數(shù)碼顯示電路和存儲器等典型單元電路,學(xué)習(xí)VHDL程序設(shè)計(jì),學(xué)會使用硬件描述語言設(shè)計(jì)數(shù)字系統(tǒng)的工作流程,使讀者具有初步設(shè)計(jì)能力,能夠編寫簡單的程序。第6章作為綜合實(shí)訓(xùn),由數(shù)字頻率計(jì)、籃球比賽24秒計(jì)時(shí)器、節(jié)日彩燈控制器、電子密碼鎖和智力競賽搶答器組成,通過相對復(fù)雜的設(shè)計(jì)項(xiàng)目,從不同的層面展示各種設(shè)計(jì)思路和方法。本書由黑龍江農(nóng)業(yè)工程職業(yè)學(xué)院于潤偉任主編,黑龍江農(nóng)業(yè)工程職業(yè)學(xué)院朱曉慧任副主編,黑龍江農(nóng)業(yè)工程職業(yè)學(xué)院張曉峰、北京信息職業(yè)技術(shù)學(xué)院黃一平、呂燕參與了編寫,全書統(tǒng)稿工作由于潤偉完成。由于編者水平有限,對一些問題的理解和處理難免有不當(dāng)之處,衷心希望使用本書的讀者批評指正。

內(nèi)容概要

  《EDA基礎(chǔ)與應(yīng)用》從初學(xué)者的角度出發(fā),介紹了EDA基礎(chǔ)知識,EDA開發(fā)軟件QuartusⅡ的使用方法、VHDL硬件描述語言的語法規(guī)則,針對EDA技術(shù)的特點(diǎn),通過設(shè)計(jì)編碼器、計(jì)算器、分頻器、存儲器、電子密碼鎖、智力競賽搶答器等英武電路,從入門、熟練、應(yīng)用和發(fā)展四個(gè)層次來闡述EDA技術(shù),使讀者感到易學(xué)易懂。書中所有程序均在EDA開發(fā)平臺上通過調(diào)試?!  禘DA基礎(chǔ)與應(yīng)用》注重精讀多練、先進(jìn)實(shí)用,可作為高職高專院校應(yīng)用電子技術(shù)、電子信息技術(shù)等專業(yè)的教材,也可作為相關(guān)技術(shù)人員的入門參考書。

書籍目錄

出版說明前言第1章 緒論1.1 認(rèn)識EDA技術(shù)1.1.1 發(fā)展歷史1.1.2 EDA技術(shù)的特點(diǎn)1.1.3 EDA技術(shù)的內(nèi)涵1.2 數(shù)字電路基礎(chǔ)1.2.1 邏輯門1.2.2 觸發(fā)器1.2.3 邏輯代數(shù)1.2.4 邏輯電路的設(shè)計(jì)1.3 可編程邏輯器件1.3.1 特點(diǎn)與分類1.3.2 編程工藝1.3.3 邏輯表示方法1.3.4 Altera,公司的PLD1.4 實(shí)訓(xùn)數(shù)字系統(tǒng)設(shè)計(jì)初步1.4.1 供電控制電路的設(shè)計(jì)1.4.2 認(rèn)識GW48-PK2教學(xué)實(shí)驗(yàn)平臺1.5 習(xí)題第2章 QuartusⅡ開發(fā)軟件2.1 軟件的獲得與安裝2.1.1 軟件的獲得2.1.2 安裝與授權(quán)2.2 設(shè)計(jì)向?qū)?.2.1 項(xiàng)目建立2.2.2 編輯文件2.2.3 編譯和仿真2.2.4 器件編程2.3 實(shí)訓(xùn)QuartusII軟件的使用2.3.1 應(yīng)用Quartus11分析VHDI程序2.3.2 應(yīng)用Quartusll分析邏輯電路2.4 習(xí)題第3章 VHDL硬件描述語言3.1 概述3.1.1 VHDL的基本結(jié)構(gòu)3.1.2 庫和程序包3.1.3 VHDL的實(shí)體3.1.4 VHDL的結(jié)構(gòu)體3.1.5 VHDL的特點(diǎn)3.1.6 VHDL的開發(fā)流程3.2 ⅦDL的數(shù)據(jù)結(jié)構(gòu)3.2.1 數(shù)據(jù)對象3.2.2 數(shù)據(jù)類型3.2.3 數(shù)據(jù)類型間的轉(zhuǎn)換3.2.4 VHDI的運(yùn)算符3.3 VHDL的并行語句3.3.1 信號賦值語句3.3.2 塊語句3.3.3 進(jìn)程語句3.3.4 元件例化語句3.3.5 生成語句3.4 VHDL的順序語句3.4.1 IF語句3.4.2 CASE語句3.4.3 子程序3.4.4 LOOP語句3.5 實(shí)訓(xùn)VHDL程序設(shè)計(jì)3.5.1 邊沿JK觸發(fā)器的設(shè)計(jì)3.5.2 交通燈控制器的設(shè)計(jì)3.6 習(xí)題第4章 數(shù)字系統(tǒng)設(shè)計(jì)入門4.1 數(shù)據(jù)比較器的設(shè)計(jì)4.1.1 同比較器4.1.2 大小比較器4.2 加法器的設(shè)計(jì)4.2.1 半加器4.2.2 全加器4.2.3 四位加/減法器4.3 編碼器的設(shè)計(jì)4.3.1 普通編碼器4.3.2 優(yōu)先編碼器4.4 計(jì)數(shù)器的設(shè)計(jì)4.4.1基本二進(jìn)制遞增計(jì)數(shù)器4.4.2 同步清零可逆計(jì)數(shù)器4.4.3 異步清零同步置數(shù)可逆計(jì)數(shù)器4.5 寄存器的設(shè)計(jì)4.5.1 基本寄存器4.5.2 循環(huán)移位寄存器4.5.3 雙向移位寄存器4.6 實(shí)訓(xùn)數(shù)字電路的設(shè)計(jì)4.6.1 全減器的設(shè)計(jì)4.6.2 3-8線譯碼器的設(shè)計(jì)4.7 習(xí)題第5章 典型單元電路的設(shè)計(jì)與實(shí)現(xiàn)5.1 分頻器5.1.1 2N分頻器5.1.2 偶數(shù)分頻器5.2 按鍵輸入電路5.2.1 編碼鍵盤5.2.2 掃描鍵盤5.2.3 虛擬鍵盤5.3 數(shù)碼顯示電路5.3.1 靜態(tài)顯示5.3.2 動態(tài)顯示5.4 存儲器541ROM5.4.2 SKAM5.4.3 FIFO5.5 習(xí)題第6章 數(shù)字系統(tǒng)設(shè)計(jì)項(xiàng)目實(shí)訓(xùn)6.1 數(shù)字頻率計(jì)6.1.1 項(xiàng)目說明6.1.2 設(shè)計(jì)方案6.1.3 項(xiàng)目實(shí)現(xiàn)6.1.4 功能擴(kuò)展與項(xiàng)目評價(jià)6.2 籃球比賽24秒計(jì)時(shí)器6.2.1 項(xiàng)目說明6.2.2 設(shè)計(jì)方案6.2.3 項(xiàng)目實(shí)現(xiàn)6.2.4 功能擴(kuò)展與項(xiàng)目評價(jià)6.3 節(jié)日彩燈控制器6.3.1 項(xiàng)目說明6.3.2 設(shè)計(jì)方案6.3.3 項(xiàng)目實(shí)現(xiàn)6.3.4 功能擴(kuò)展與項(xiàng)目評價(jià)6.4 電子密碼鎖6.4.1 項(xiàng)目說明6.4.2 設(shè)計(jì)方案6.4.3 項(xiàng)目實(shí)現(xiàn)6.4.4 功能擴(kuò)展與項(xiàng)目評價(jià)6.5 智力競賽搶答器6.5.1 項(xiàng)目說明6.5.2 設(shè)計(jì)方案6.5.3 項(xiàng)目實(shí)現(xiàn)6.5.4 功能擴(kuò)展與項(xiàng)目評價(jià)部分習(xí)題答案參考文獻(xiàn)

章節(jié)摘錄

插圖:數(shù)字電路可以分為組合邏輯電路和時(shí)序邏輯電路兩類:組合邏輯電路的特點(diǎn)是任何時(shí)刻的輸出信號僅僅取決于輸入信號,而與信號作用前的電路原有狀態(tài)無關(guān)。在電路結(jié)構(gòu)上單純由邏輯門構(gòu)成,沒有反饋電路,也不含有存儲元件。時(shí)序邏輯電路在任何時(shí)刻的穩(wěn)定輸出,不僅取決于當(dāng)前的輸入狀態(tài),而且還與電路的前一個(gè)輸出狀態(tài)有關(guān)。時(shí)序邏輯電路主要由觸發(fā)器構(gòu)成,而觸發(fā)器的基本元件是邏輯門電路,因此,不論是簡單還是復(fù)雜的數(shù)字電路系統(tǒng)都是由基本邏輯門電路構(gòu)成的。1.2.1 邏輯門數(shù)字系統(tǒng)的所有邏輯關(guān)系都是由與、或、非3種基本邏輯關(guān)系組合構(gòu)成。能夠?qū)崿F(xiàn)邏輯關(guān)系的電路稱為邏輯門電路,常用的門電路有與門、或門、非門、與非門、或非門、同或門和異或門等。邏輯電路的輸入和輸出信號只有高電平和低電平兩種狀態(tài):用1表示高電平、用0表示低電平的情況稱為正邏輯;反之,用0表示高電平、用1表示低電平的情況稱為負(fù)邏輯(本書采用正邏輯)。在數(shù)字電路中,只要能明確區(qū)分高電平和低電平兩種狀態(tài)就可以了,高電平和低電平都允許有一定范圍的誤差,因此數(shù)字電路對元器件參數(shù)的精度要求比模擬電路要低一些,其抗干擾能力要比模擬電路強(qiáng)。1.與門當(dāng)決定某個(gè)事件的全部條件都具備時(shí),該事件才會發(fā)生,這種因果關(guān)系稱為與邏輯關(guān)系。實(shí)現(xiàn)與邏輯關(guān)系的電路稱為與門。與門可以有兩個(gè)或兩個(gè)以上的輸入端口以及一個(gè)輸出端口,輸入和輸出按照與邏輯關(guān)系可以表示為:當(dāng)任何一個(gè)或一個(gè)以上的輸入端口為O時(shí),輸出為O;只有所有的輸入端口均為1時(shí),輸出才為1。組合邏輯電路的輸入和輸出關(guān)系可以用邏輯函數(shù)來表示,通常有真值表、邏輯表達(dá)式、邏輯圖和波形圖4種表示方式。下面就以兩輸入端與門為例加以說明。

編輯推薦

《EDA基礎(chǔ)與應(yīng)用》:全國高等職業(yè)教育規(guī)劃教材。

圖書封面

評論、評分、閱讀與下載


    EDA基礎(chǔ)與應(yīng)用 PDF格式下載


用戶評論 (總計(jì)2條)

 
 

  •   教學(xué)用著很順手,極力推薦
  •   內(nèi)容先進(jìn)實(shí)用,適合項(xiàng)目教學(xué)
 

250萬本中文圖書簡介、評論、評分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號-7