VHDL電路設(shè)計實用教程

出版時間:2009-3  出版社:李云、侯傳教、 馮永浩 機械工業(yè)出版社 (2009-03出版)  作者:李云 等 著  頁數(shù):295  

前言

隨著電子技術(shù)的迅猛發(fā)展,集成電路的設(shè)計方法也在不斷地更新,已從單純的專用集成電路(ASIC)設(shè)計向片上系統(tǒng)設(shè)計的方向發(fā)展。現(xiàn)在,傳統(tǒng)的“固定功能集成電路+連線”的手工電子設(shè)計方法已被現(xiàn)代的對“芯片”進(jìn)行設(shè)計的自動化設(shè)計方法所替代,使電子設(shè)計技術(shù)邁入了一個全新的階段。目前電子系統(tǒng)的設(shè)計可以直接面向用戶需求,根據(jù)系統(tǒng)的功能和行為要求,利用計算機軟件,采用硬件描述語言,自上而下地逐層完成相應(yīng)的描述、綜合、優(yōu)化、仿真與驗證,直到生成器件。上述系統(tǒng)設(shè)計過程除了系統(tǒng)功能和行為描述之外,其余所有設(shè)計過程幾乎都由計算機自動完成,真正做到了電子設(shè)計自動化(。EDA)。一些專家預(yù)言,未來的電子技術(shù)將是EDA技術(shù)時代。為了適應(yīng)這一時代的要求,Altera公司、Xilinx公司、Lattice公司等相繼推出了多種高性能的大規(guī)??删幊踢壿嬈骷﨔PGA/CPID芯片和各類高性能的現(xiàn)代.EDA工具軟件,使電子系統(tǒng)向速度更快、體積更小、重量更輕、功耗更小、穩(wěn)定性更高的方向發(fā)展。熟悉并掌握這些現(xiàn)代化設(shè)計理念和EDA工具軟件,已成為電子工程師必備的基本素質(zhì)?,F(xiàn)代的EDA工具軟件給電子設(shè)計帶來了巨大變革,其關(guān)鍵技術(shù)無一例外地以硬件描述語言作為設(shè)計輸入來描述電子系統(tǒng)的硬件電路。VHDL是美國電氣和電子工程師協(xié)會制定的標(biāo)準(zhǔn)硬件描述語言(IEEE標(biāo)準(zhǔn)1076),它可用于數(shù)字電路與系統(tǒng)的描述、仿真和自動設(shè)計。VHDL作為IEEE標(biāo)準(zhǔn)的硬件描述語言,經(jīng)過十幾年的發(fā)展、應(yīng)用和完善,以其強大的系統(tǒng)描述能力,規(guī)范的程序設(shè)計結(jié)構(gòu),靈活的語句表達(dá)風(fēng)格和多層次的仿真測試手段,受到業(yè)界的普遍認(rèn)同和推廣,成為現(xiàn)代EDA領(lǐng)域的首選硬件設(shè)計語言,而且各大EDA公司推出的EDA工具軟件全部支持VHDL。正是因為有了這一強大的硬件描述語言,使電子系統(tǒng)的設(shè)計實現(xiàn)了硬件設(shè)計軟件化。隨著EDA技術(shù)和VHDL的廣泛應(yīng)用,各高等院校也紛紛開設(shè)了VHDL電路設(shè)計課程,且要求某些專業(yè)的本科生、研究生必須掌握用VHDL進(jìn)行電路系統(tǒng)設(shè)計的方法。VHDL已經(jīng)成為高等教育中電類專業(yè)知識結(jié)構(gòu)的重要組成部分。本書以系統(tǒng)性和實用性為指導(dǎo),其宗旨是幫助硬件設(shè)計工程師學(xué)習(xí)如何用VHDL更好地設(shè)計電路,并通過具體實例介紹如何簡潔、高效、正確地編寫硬件系統(tǒng)的VHDL描述。本書是在教學(xué)實踐的基礎(chǔ)上編寫的,書中的實例基本都經(jīng)過了實踐檢驗。在編寫過程中,我們力求做到知識新、內(nèi)容全、實用性強。本書對所有知識的講解始終貫穿由淺入深、化難為易以及邊學(xué)習(xí)邊實踐的原則,使讀者易于理解和掌握,既便于教學(xué)過程中使用,也便于自學(xué)。為使讀者能邊學(xué)習(xí)邊實踐,每章后面均附有小結(jié)與習(xí)題,建議讀者在學(xué)完一章內(nèi)容后,都能完成各章的練習(xí),以加深理解,鞏固學(xué)習(xí)效果。本書第1、5、6章由李云編寫,第2、7、8章由侯傳教編寫,第3、4章由馮永浩編寫,李云負(fù)責(zé)全書的修改和統(tǒng)稿工作。本書由熊偉副教授審閱,并提出了許多修改意見,在此表示衷心的感謝。由于編者水平有限,書中難免仍存在錯誤和不妥之處,請廣大讀者批評指正。

內(nèi)容概要

  《VHDL電路設(shè)計實用教程》由淺入深地介紹了VHDL及有關(guān)硬件電路設(shè)計的相關(guān)知識,全書共分8章,第1章簡單介紹了EDA技術(shù)的基本知識及數(shù)字系統(tǒng)硬件設(shè)計的方法;第2章介紹了目前較流行的基于PC的EDA工具軟件Quartus II6.0;第3、4章詳細(xì)介紹了VHDL的基本知識和基本語法,并重點介紹了VHDL的對象,數(shù)據(jù)類型和運算符,VHDL的基本結(jié)構(gòu);VHDL的基本語句,VHDL的屬性;第5章通過一些常用標(biāo)準(zhǔn)器件介紹了組合邏輯電路和時序邏輯電路的VHDL設(shè)計方法、編程和模擬仿真;第6章通過具體實例介紹了一些常用數(shù)字系統(tǒng)的VHDL設(shè)計;第7、8章介紹了一些實際應(yīng)用的通信、數(shù)字信號處理(DSP)方面的VHDL程序的設(shè)計?!  禫HDL電路設(shè)計實用教程》可作為高等院校通信、電子工程、計算機科學(xué)與技術(shù)、自動控制等專業(yè)本科生、研究生的教材或教學(xué)參考書,也可作為從事各類數(shù)字系統(tǒng)電路設(shè)計的科研人員和硬件工程師的應(yīng)用參考書。

書籍目錄

前言第1章 緒論1.1 EDA技術(shù)及其發(fā)展歷程1.2 EDA技術(shù)的主要內(nèi)容1.2.1可編程邏輯器件1.2.2 EDA工具軟件1.2.3 EDA設(shè)計語言1.3 硬件描述語言ⅦDL1.3.1 VHDL的特點1.3.2 基于VHDL的現(xiàn)代硬件電路設(shè)計方法1.3.3 VHDL的設(shè)計流程1.4 小結(jié)1.5習(xí)題第2章 Quartus Ⅱ6.O使用指南2.1 Quartus Ⅱ簡介2.1.1 Quartus Ⅱ的特點2.1.2 Quartus Ⅱ的安裝與啟動2.1.3 第一次運行Quartus Ⅱ2.1.4 Quartus Ⅱ的用戶界面2.2 基于Quartus Ⅱ的設(shè)計流程2.3 基于Quartus Ⅱ的原理圖設(shè)計方法2.3.1 創(chuàng)建一個新Quartus Ⅱ工程2.3.2 使用Quartus Ⅱ Block Diagram/Schematic File編輯器2.3.3 編譯2.3.4 定時分析2.3.5 模擬仿真2.3.6 引腳鎖定和編程下載2.3.7 使用Quartus Ⅱ的LPM宏單元2.4 基于Quartus Ⅱ的VHDL設(shè)計方法2.4.1 編輯輸入并保存VHDL源文件2.4.2 電路的編譯和模擬仿真 2.4.3 應(yīng)用KTL電路圖觀察器2.4.4 引腳鎖定和編程下載2.5 層次化設(shè)計2.5.1 編輯、編譯并仿真VHDL源程序2.5.2 編輯、編譯并仿真8位計數(shù)顯示譯碼電路的頂層文件 2.6 小結(jié)2.7 習(xí)題第3章 VHDL的基本結(jié)構(gòu)和語言要素3.1 VHDL程序的基本結(jié)構(gòu)3.1.1 庫3.1.2 程序包3.1.3 實體3.1.4 結(jié)構(gòu)體3.1.5 配置3.1.6 基本邏輯器件設(shè)計舉例 3.2 VHDL的語言要素3.2.1 VHDL的文字規(guī)則3.2.2 VHDL的數(shù)據(jù)對象3.2.3 VHDL的數(shù)據(jù)類型3.2.4 VHDL的操作符3.3 小結(jié)3.4 習(xí)題第4章 VHDL的基本語句4.1 順序描述語句4.1.1 賦值語句4.1.2 IF語句4.1.3 CASE語句4.1.4 LOOP語句4.1.5 NEXT語句4.1.6 EXIT語句4.1.7 NULL語句4.1.8 WAJT語句4.1.9 ASSERT語句4.1.10 子程序調(diào)用語句4.1.11 子程序返回語句4.2 并行描述語句4.2.1 并行信號賦值語句4.2.2 PROCESS語句4.2.3 BLOCK語句4.2.4 元件例化語句4.2.5 生成語句4.3 子程序4.3.1 過程4.3.2 函數(shù)4.4 其他語句和說明4.4.1 屬性描述與定義語句4.4.2 文本文件操作4.5 VHDL的描述方式4.5.1 行為描述4.5.2 數(shù)據(jù)流描述4.5.3 結(jié)構(gòu)描述4.6 小結(jié)4.7 習(xí)題第5章 電路設(shè)計入門5.1 組合邏輯電路的設(shè)計5.1.1 與非門和非門5.1.2 三態(tài)門5.1.3 緩沖器5.1.4 加法器5.1.5 編碼器5.1.6 譯碼器5.1.7 數(shù)據(jù)選擇器5.1.8 數(shù)據(jù)分配器5.1.9 數(shù)值比較器5.2 時序邏輯電路的設(shè)計5.2.1 觸發(fā)器5.2.2 寄存器和移位寄存器5.2.3 存儲器5.2.4 計數(shù)器5.3 有限狀態(tài)機的設(shè)計5.3.1 概述5.3.2 狀態(tài)機的基本結(jié)構(gòu)和功能5.3.3 有限狀態(tài)機的VHDL描述5.3.4 狀態(tài)編碼5.3.5 狀態(tài)機剩余狀態(tài)的處理5.4 小結(jié)5.5 習(xí)題第6章 VHDL在數(shù)字系統(tǒng)設(shè)計中的應(yīng)用6.1 LED數(shù)碼管動態(tài)掃描顯示控制器6.1.1 LED數(shù)碼管的顯示原理6.1.2 LED數(shù)碼管靜態(tài)顯示控制器的設(shè)計6.1.3 LED數(shù)碼管動態(tài)掃描顯示控制器的設(shè)計6.2 數(shù)字鐘6.2.1 設(shè)計任務(wù)和要求6.2.2 數(shù)字鐘電路的設(shè)計6.3 交通信號燈控制器6.3.1 設(shè)計任務(wù)和要求6.3.2 交通信號燈控制器的設(shè)計6.4 數(shù)字密碼鎖系統(tǒng)6.4.1 設(shè)計任務(wù)和要求6.4.2 數(shù)字密碼鎖系統(tǒng)電路的設(shè)計與實現(xiàn)6.5 小結(jié)6.6 習(xí)題第7章 VHDL在通信系統(tǒng)設(shè)計中的應(yīng)用7.1 數(shù)字基帶信號傳輸碼發(fā)生器的建模與設(shè)計7.1.1 常見的幾種基帶碼7.1.2 基帶碼發(fā)生器的VHDL程序及仿真7.2 二進(jìn)制振幅鍵控調(diào)制與解調(diào)的設(shè)計7.2.1 二進(jìn)制振幅鍵控調(diào)制的原理7.2.2 二進(jìn)制振幅鍵控調(diào)制的VHDL程序及仿真7.2.3 二進(jìn)制振幅鍵控解調(diào)的原理7.2.4 二進(jìn)制振幅鍵控解調(diào)的VHDL程序及仿真7.3 二進(jìn)制頻移鍵控調(diào)制與解調(diào)的設(shè)計7.3.1 二進(jìn)制頻移鍵控調(diào)制的原理7.3.2 二進(jìn)制頻移鍵控調(diào)制的VHDL程序及仿真7.3.3 二進(jìn)制頻移鍵控解調(diào)的原理7.3.4 二進(jìn)制頻移鍵控解調(diào)的VHDL程序及仿真7.4 二進(jìn)制相位鍵控調(diào)制與解調(diào)的設(shè)計7.4.1 二進(jìn)制相位鍵控調(diào)制的原理7.4.2 二進(jìn)制相位鍵控調(diào)制的VHDL程序及仿真7.4.3 二進(jìn)制相位鍵控解調(diào)的原理7.4.4 二進(jìn)制相位鍵控解調(diào)的VHDL程序及仿真7.5 數(shù)字相關(guān)器的建模與設(shè)計7.5.1 數(shù)字相關(guān)器的原理7.5.2 數(shù)字相關(guān)器的VHDL程序及仿真7.6 CRC碼的建模與設(shè)計7.6.1 CRC碼的校驗原理7.6.2 CRC碼的VHDL程序及仿真7.7 直接數(shù)字頻率合成的建模與設(shè)計7.7.1 DDS的工作原理7.7.2 DDS的VHDL程序及仿真……第8章 VHDL在DSP中的應(yīng)用參考文獻(xiàn)

章節(jié)摘錄

插圖:第1章 緒論電子設(shè)計自動化(Electronic Design.Automation,EDA)技術(shù)是現(xiàn)代電子工程領(lǐng)域的一門新技術(shù)。基于可編程邏輯器件(Programmable Logic Device,PLD)的數(shù)字系統(tǒng)EDA技術(shù)可以簡單概括為:以大規(guī)模可編程邏輯器件為設(shè)計載體,通過硬件描述語言(Hardware Description Language,HDL)輸入給相應(yīng)開發(fā)軟件,經(jīng)過編譯和仿真,最終下載到設(shè)計載體中,從而實現(xiàn)系統(tǒng)電路的設(shè)計任務(wù)。當(dāng)今,基于芯片的設(shè)計方法已成為電子系統(tǒng)設(shè)計的主流,掌握EDA技術(shù)是對電子工程技術(shù)人員的基本要求。本章首先從EDA技術(shù)及其發(fā)展歷程開始,對EDA技術(shù)進(jìn)行概括性的介紹,然后對VHDL的主要特點、現(xiàn)代硬件電路設(shè)計方法和設(shè)計流程進(jìn)行介紹,目的是使讀者對VHDI。有一個初步的認(rèn)識。1.1 EDA技術(shù)及其發(fā)展歷程20世紀(jì)末,數(shù)字電子技術(shù)得到了飛速發(fā)展,在其推動下,現(xiàn)代電子產(chǎn)品幾乎滲透到了社會的各個領(lǐng)域,數(shù)字電子技術(shù)的應(yīng)用也已經(jīng)滲透到我們生活的各個方面。從計算機到手機,從數(shù)字電話到數(shù)字電視,從家用電器到軍用設(shè)備,從工業(yè)自動化到航天技術(shù),都盡可能采用了數(shù)字電子技術(shù)。而微電子技術(shù),即大規(guī)模集成電路加工技術(shù)的進(jìn)步是推動現(xiàn)代數(shù)字電子技術(shù)發(fā)展的動力。隨著大規(guī)模和超大規(guī)模集成電路的迅猛發(fā)展,器件的集成度越來越高,在硅片單位面積上集成的晶體管數(shù)量越來越多,如1978年推出的8086微處理器芯片集成電路的晶體管數(shù)是4萬只,到2000年推出的Pentium 4微處理器芯片的集成度達(dá)4200萬只晶體管。集成電路設(shè)計在不斷地向超大規(guī)模、極低功耗和超高速的方向發(fā)展,現(xiàn)在,集成電路已經(jīng)能夠?qū)崿F(xiàn)單片式系統(tǒng)(System On a Chip,SOC)的功能。

編輯推薦

《VHDL電路設(shè)計實用教程》以系統(tǒng)性和實用性為指導(dǎo),幫助讀者學(xué)習(xí)如何用VHDL更好地設(shè)計電路。在教學(xué)實踐的基礎(chǔ)上編寫,實例都經(jīng)過實踐檢驗。知識新、內(nèi)容全、實用性強。

圖書封面

評論、評分、閱讀與下載


    VHDL電路設(shè)計實用教程 PDF格式下載


用戶評論 (總計0條)

 
 

 

250萬本中文圖書簡介、評論、評分,PDF格式免費下載。 第一圖書網(wǎng) 手機版

京ICP備13047387號-7