CMOS大規(guī)模集成電路設(shè)計(jì)

出版時(shí)間:2005-5  出版社:機(jī)械工業(yè)  作者:[澳]尼爾H.E.威斯  頁數(shù):967  
Tag標(biāo)簽:無  

內(nèi)容概要

本書詳細(xì)介紹了在一個(gè)芯片上設(shè)計(jì)復(fù)雜和高性能CMOS系統(tǒng)的現(xiàn)代化技術(shù)。作者結(jié)合理論和實(shí)踐的經(jīng)驗(yàn),解釋了芯片設(shè)計(jì)的現(xiàn)代化操作,包括時(shí)鐘、閉鎖、動(dòng)態(tài)電路、接插件工程、SPICE和模擬。本書充分反映了近十年中集成電路設(shè)計(jì)方面的巨大進(jìn)展。與前兩版相比,本版增添了更多的內(nèi)容,包括裝置、接插件和時(shí)鐘的最新資料,介紹了設(shè)計(jì)圖表和簡(jiǎn)單CMOS電路布局,更新了非理想晶體管行為和設(shè)計(jì)意圖的討論,擴(kuò)展介紹了接插件等。本書適合作為高等院校相關(guān)專業(yè)本科生的教材?! ”緯攸c(diǎn):  提供高性能CMOS電路設(shè)計(jì)的權(quán)威性論述?! 我坏腞C延遲模型和邏輯成果的綜合,作為討論快速電路和估計(jì)延遲的手段?! 「P(guān)注功率和泄漏。  介紹SPICE模擬和處理特性?! 〗榻B高性能domino電路和電路缺陷?! ≡敿?xì)介紹時(shí)鐘和閉鎖技術(shù)?! 〗y(tǒng)一論述高性能CMOS加法器比較技術(shù)。  包含現(xiàn)代處理技術(shù)的實(shí)例。   從實(shí)用的角度介紹Verilog和VHDL。

作者簡(jiǎn)介

尼爾H.E.威斯特,于澳大利亞阿德雷德大學(xué)獲得博士學(xué)位。目前是NHEW R&D Pty有限公司的董事,同時(shí)還是麥考瑞大學(xué)和阿德雷德大學(xué)的客座教授。他的研究領(lǐng)域包括無線技術(shù)、片上系統(tǒng)、模擬、RF和數(shù)字IC設(shè)計(jì)等。 
大衛(wèi)·哈里斯,于1994年在麻省理工學(xué)院獲得工程碩士學(xué)位,1999

書籍目錄

1 Introduction  1.1 A Brief History  1.2 Book Summary  1.3 MOS Transistors  1.4 CMOS Logic  1.5 CMOS Fabrication and Layout  1.6 Design Partitioning  1.7 Example: A Simple MIPS Microprocessor  1.8 Logic Design  1.9 Circuit Design  1.10 Physical Design  1.11 Design Verification  1.12 Fabrication, Packaging, and Testing  Summary  Exercises 2 MOS Transistor Theory  2.1 Introduction  2.2 Ideal I-V Characteristics  2.3 C-V Characteristics  2.4 Nonideal I-V Effects  2.5 DC Transfer Characteristics  2.6 Switch-Level RC Delay Models  2.7 Pitfalls and Fallacies  Summary  Exercises 3 CMOS Processing Technology  3.1 Introduction  3.2 CMOS Technologies  3.3 Layout Design Rules  3.4 CMOS Process Enhancements  3.5 Technology Related CAD Issues  3.6 Manufacturing Issues  3.7 Pitfalls and Fallacies  3.8 Historical Perspective  Summary  Exercises 4 Circuit Characterization and Performance Estimation  4.1 Introduction  4.2 Delay Estimation  4.3 Logical Effort and Transistor Sizing  4.4 Power Disruption  4.5 Interconnect  4.6 Wire Engineering  4.7 Design Margin  4.8 Reliability  4.9 Scaling  4.10 Pitfalls and Fallacies  4.11 Historical Perspective  Summary  Exercises 5 Circuit Simulation ……6 Combinational Circuit Design 7 Sequential Circuit Design 8 Design Methodology and Tools 9 Testing and Verification 10 Datapath Subsystems 11 Array Subsystems12 Special-Purpose Subsystems Appendix A: Verilog Appendix B: VHDL ReferencesIndex

圖書封面

圖書標(biāo)簽Tags

評(píng)論、評(píng)分、閱讀與下載


    CMOS大規(guī)模集成電路設(shè)計(jì) PDF格式下載


用戶評(píng)論 (總計(jì)4條)

 
 

  •   這本書是CMOS集成電路設(shè)計(jì)的經(jīng)典教材之一,價(jià)格不錯(cuò).國(guó)外買就很貴了hoho我買了寄過去用的
  •   和拉貝那本都是經(jīng)典的書籍,但這本適合有一定設(shè)計(jì)經(jīng)驗(yàn)的人看,如果初學(xué)者,最好還是不要碰這本書,因?yàn)楹芏嗄銜?huì)發(fā)現(xiàn)看不懂。
  •   模擬經(jīng)典
  •   好書,推薦之
 

250萬本中文圖書簡(jiǎn)介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7