EDA與數(shù)字系統(tǒng)設(shè)計(jì)

出版時(shí)間:2005-3  出版社:機(jī)械工業(yè)  作者:李國麗  頁數(shù):233  字?jǐn)?shù):373000  

前言

為適應(yīng)新世紀(jì)人才培養(yǎng)的需要,培養(yǎng)學(xué)生利用計(jì)算機(jī)等先進(jìn)設(shè)備進(jìn)行電子設(shè)計(jì)自動化的能力,合理安排教學(xué)和訓(xùn)練內(nèi)容,使學(xué)生在最短的時(shí)間內(nèi),以最快的速度掌握EDA的基本方法,我們編寫了這本教材。希望通過本教材的學(xué)習(xí),可以使學(xué)生掌握EDA的一般方法,學(xué)習(xí)專用EDA軟件的使用,并在有限的學(xué)時(shí)內(nèi)完成數(shù)字系統(tǒng)設(shè)計(jì)課題。在本教材的第1版中,使用的EDA仿真軟件是MAx+PLusⅡ,隨著EDA技術(shù)的飛速發(fā)展,Altera公司的第三代PLD開發(fā)系統(tǒng)MAx+PLusⅡ已經(jīng)發(fā)展成為第四代PLD開發(fā)系統(tǒng)QuartusⅡ,它可以用于新器件和大規(guī)模CPLD/FPGA的設(shè)計(jì),是目前進(jìn)行CPLD/FPGA和結(jié)構(gòu)化ASIC設(shè)計(jì)的最佳軟件,且非常容易使用。所以,在本書的第2版中,將主要使用Quar—tusⅡ軟件進(jìn)行數(shù)字系統(tǒng)設(shè)計(jì),為兼顧熟悉MAx+PLusⅡ的讀者,把相關(guān)MAx+PLUSⅡ的內(nèi)容放到附錄A中。VHDL和VerilogHDL是目前EDA設(shè)計(jì)中常用的兩種硬件描述語言,本教材對這兩種硬件描述語言分別用一章的內(nèi)容進(jìn)行介紹,并在QuartusⅡ設(shè)計(jì)中使用VHDL語言,在MAx+PLusⅡ設(shè)計(jì)中使用VerilogHDL語言,以供使用本教材的讀者選擇。全書共分為四章。緒論包括數(shù)字系統(tǒng)設(shè)計(jì)的基本概念、數(shù)字系統(tǒng)設(shè)計(jì)方法簡介、可編程邏輯器件簡介、EDA軟件的種類及各自的特點(diǎn)、硬件描述語言簡介以及本教材教學(xué)的要求。第1章通過練習(xí)進(jìn)行QuartusⅡ的使用訓(xùn)練,練習(xí)題目是經(jīng)過精心挑選的,只有完成這些練習(xí),才能掌握QuartusⅡ軟件的使用方法、下載的特點(diǎn),才有可能進(jìn)一步完成設(shè)計(jì)題目。在此章的內(nèi)容中,將出現(xiàn)一些簡單的VHDL語言進(jìn)行的設(shè)計(jì),讀者完全可以通過這些簡單練習(xí)掌握一般問題的VHDL設(shè)計(jì),若需要對VHDL語言有進(jìn)一步的了解,可以參考第2章的有關(guān)內(nèi)容。

內(nèi)容概要

本教材根據(jù)電子技術(shù)基礎(chǔ)課程設(shè)計(jì)階段學(xué)時(shí)少、任務(wù)重的特點(diǎn),將傳統(tǒng)電子技術(shù)課程設(shè)計(jì)內(nèi)容與EDA技術(shù)有機(jī)結(jié)合,優(yōu)化訓(xùn)練和設(shè)計(jì)內(nèi)容,以提高將EDA技術(shù)用于數(shù)學(xué)系統(tǒng)設(shè)計(jì)的能力為目的,深入淺出地對EDA技術(shù)和相關(guān)知識做了介紹。    本教材介紹了EDA的相關(guān)知識、數(shù)字系統(tǒng)設(shè)計(jì)的基本概念和MAX+plus2的使用練習(xí),介紹了VHDL和VerilogHDL硬件描述語言,并給出了若干數(shù)字系統(tǒng)設(shè)計(jì)問題。全書內(nèi)容共4章,附錄中給出了一些數(shù)字系統(tǒng)設(shè)計(jì)問題的VerilogHDL參考代碼。    本書可以作為工科電類或非電類專業(yè)的本科生以及電大學(xué)生的電子技術(shù)課程設(shè)計(jì)教材或選修課教材,也可供有關(guān)教師和工程技術(shù)人員參考。

書籍目錄

前言緒論  0.1 數(shù)字系統(tǒng)設(shè)計(jì)的基本概念  0.2 數(shù)字系統(tǒng)設(shè)計(jì)方法簡介  0.3 可編程邏輯器件簡介  0.4 EDA軟件種類及其各自特點(diǎn)  0.5 硬件描述語言簡介第1章 MAX+plus2使用練習(xí)  1.1 MAX+plus2簡介  1.2 基于MAX+plus2的電路設(shè)計(jì)過程  1.3 計(jì)數(shù)器設(shè)計(jì)  1.4 掃描顯示電路  1.5 數(shù)字系統(tǒng)設(shè)計(jì)例題第2章 VHDL硬件描述語言  2.1 VHDL概述  2.2 VHDL的數(shù)據(jù)類型  2.3 VHD設(shè)計(jì)的基本語句  2.4 VHDL高級語句  2.5 VHDL設(shè)計(jì)實(shí)例第3章 Verilog HDL硬件描述語言  3.1 Verilog HDL概述  3.2 Verilog HDL語言要素  3.3 Verilog HDL基本語句  3.4 仿真驗(yàn)證  3.5 可綜合性描述  3.6 設(shè)計(jì)實(shí)例第4章 數(shù)字系統(tǒng)設(shè)計(jì)問題  4.1 多功能數(shù)字鐘的設(shè)計(jì)  4.2 數(shù)字式競賽搶答器  4.3 數(shù)字頻率表  4.4 拔河游戲機(jī)  4.5 洗衣機(jī)控制器  4.6 電子密碼鎖  4.7 脈沖按鍵電話按鍵顯示器  4.8 乘法器  4.9 乒乓球比賽游戲機(jī)  4.10 具有四種信號燈的交通燈  4.11 出租車自動計(jì)費(fèi)器  4.12 自動售郵票機(jī)  4.13 電梯控制器附錄參考文獻(xiàn)

章節(jié)摘錄

插圖:緒論0.1 數(shù)字系統(tǒng)設(shè)計(jì)的基本概念目前,數(shù)字技術(shù)已滲透到科研、生產(chǎn)和人們?nèi)粘I畹母鱾€(gè)領(lǐng)域。從計(jì)算機(jī)到家用電器,從手機(jī)到數(shù)字電話,以及絕大部分新研制的醫(yī)用設(shè)備、軍用設(shè)備等,無不盡可能地采用了數(shù)字技術(shù)。數(shù)字系統(tǒng)是對數(shù)字信息進(jìn)行存儲、傳輸、處理的電子系統(tǒng)。通常把門電路、觸發(fā)器等稱為邏輯器件。將由邏輯器件構(gòu)成,能執(zhí)行某單一功能的電路,如計(jì)數(shù)器、譯碼器、加法器等,稱為邏輯功能部件,把由邏輯功能部件組成的能實(shí)現(xiàn)復(fù)雜功能的數(shù)字電路稱為數(shù)字系統(tǒng)。復(fù)雜的數(shù)字系統(tǒng)可以分割成若干個(gè)子系統(tǒng),例如計(jì)算機(jī)就是一個(gè)內(nèi)部結(jié)構(gòu)相當(dāng)復(fù)雜的數(shù)字系統(tǒng)。不論數(shù)字系統(tǒng)的復(fù)雜程度如何,規(guī)模大小怎樣,就其實(shí)質(zhì)而言皆為邏輯問題,從組成上說,是由許多能夠進(jìn)行各種邏輯操作的功能部件組成的,這類功能部件,可以是小規(guī)模集成電路(SSI)邏輯部件,也可以是各種中規(guī)模集成電路(MSI)邏輯部件、大規(guī)模集成電路(LSI)邏輯部件,甚至可以是CPU芯片。由于各功能部件之間的有機(jī)配合,協(xié)調(diào)工作,使數(shù)字電路成為統(tǒng)一的數(shù)字信息存儲、傳輸、處理的電子電路。與數(shù)字系統(tǒng)相對應(yīng)的是模擬系統(tǒng),和模擬系統(tǒng)相比,數(shù)字系統(tǒng)具有工作穩(wěn)定可靠,抗干擾能力強(qiáng),便于大規(guī)模集成,易于實(shí)現(xiàn)小型化、模塊化等優(yōu)點(diǎn)。

編輯推薦

《EDA與數(shù)字系統(tǒng)設(shè)計(jì)》由機(jī)械工業(yè)出版社出版。

圖書封面

評論、評分、閱讀與下載


    EDA與數(shù)字系統(tǒng)設(shè)計(jì) PDF格式下載


用戶評論 (總計(jì)3條)

 
 

  •   發(fā)貨很快~~~書是我要用的教材~比學(xué)校賣的便宜~~
  •   和書店買的一樣
  •   買了這本書覺得非常不錯(cuò),后面有很多實(shí)例。前面的講解很簡練但很實(shí)用,總的來說非常贊了。
 

250萬本中文圖書簡介、評論、評分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號-7