EDA技術(shù)VHDL實(shí)用教程

出版時(shí)間:2007-6  出版社:高等教育  作者:廖超平 編  頁(yè)數(shù):291  

前言

EDA技術(shù)是計(jì)算機(jī)技術(shù)與電子設(shè)計(jì)技術(shù)相結(jié)合的一門嶄新的技術(shù),它給電子產(chǎn)品設(shè)計(jì)與開發(fā)帶來(lái)了革命性的變化。隨著EDA技術(shù)的發(fā)展,硬件電子電路的設(shè)計(jì)幾乎都可以依靠計(jì)算機(jī)來(lái)完成,這樣就大大縮短了硬件電子電路設(shè)計(jì)的周期,從而使制造商能迅速開發(fā)出品種多、批量小的產(chǎn)品,以滿足市場(chǎng)需求。現(xiàn)在,EDA技術(shù)在通信、國(guó)防、航天、工業(yè)自動(dòng)化、儀器儀表等領(lǐng)域的電子系統(tǒng)設(shè)計(jì)工作中的應(yīng)用正以驚人的速度上升,它已成為廣泛應(yīng)用于各個(gè)電子信息領(lǐng)域的前沿技術(shù)之一。另外,利用EDA技術(shù),能克服實(shí)驗(yàn)室元器件品種、規(guī)模、數(shù)量不足,儀器陳舊老化、實(shí)驗(yàn)電路板形式單調(diào),不利于學(xué)生創(chuàng)新設(shè)計(jì)等缺點(diǎn),對(duì)培養(yǎng)學(xué)生的應(yīng)用能力、綜合分析與設(shè)計(jì)能力和提高綜合素質(zhì)都具有重要的意義。因此,在高職高專電子信息工程類專業(yè)開設(shè)EDA技術(shù)教學(xué)具有重大的意義。目前世界上有十幾家生產(chǎn)CPLD/FPGA的公司,最大的三家是:Altera、Xilinx和Lattice,其中Altera和xilinx兩家公司共占有60%以上的市場(chǎng)份額,國(guó)內(nèi)很多人喜歡用Altera公司的CPLD/FPGA開發(fā)軟件MAx+plusⅡ和QuartusⅡ??紤]到QuartusⅡ是MAx+plusⅡ的升級(jí)產(chǎn)品,所以《EDA技術(shù)與VHDL實(shí)用教程》講述的CPLD/FPGA開發(fā)軟件選用QuartusⅡ。目前世界上最常用的硬件描述語(yǔ)言有VHDL與VeIrilog HDL兩種,VHDL和Verilog HDL各有所長(zhǎng),市場(chǎng)占有量也相差不多。另外,在國(guó)內(nèi)關(guān)于VHDL的資料較多,而且學(xué)會(huì)了VHDL,再轉(zhuǎn)到Verilog HDL是很容易的事。所以,《EDA技術(shù)與VHDL實(shí)用教程》只介紹VHDL?!禘DA技術(shù)與VHDL實(shí)用教程》第1章是EDA技術(shù)概述,對(duì)EDA技術(shù)的歷史和內(nèi)容做一個(gè)概括的說(shuō)明;第2章、第3章介紹QuartusⅡ原理圖輸入設(shè)計(jì)法;第4章、第5章介紹VHDL設(shè)計(jì);第6章介紹綜合設(shè)計(jì)項(xiàng)目的設(shè)計(jì)方法與實(shí)例。QuartusⅡ開發(fā)軟件功能非常強(qiáng)大,針對(duì)初學(xué)者,《EDA技術(shù)與VHDL實(shí)用教程》重點(diǎn)介紹其中的最基本內(nèi)容。包括QuartusⅡ原理圖輸入設(shè)計(jì)法流程、QuartusⅡ的VHDL輸入設(shè)計(jì)法流程、運(yùn)用QuartusⅡ進(jìn)行設(shè)計(jì)文件的編輯、編譯、仿真及器件編程等內(nèi)容。VHDL也只介紹其中的基本內(nèi)容,包括VHDL語(yǔ)句與程序結(jié)構(gòu)、語(yǔ)法法則和設(shè)計(jì)流程等。另外,教材內(nèi)容選擇和安排,充分反映了EDA技術(shù)的“自頂向下”設(shè)計(jì)方法這一特色。本教材編寫充分體現(xiàn)以應(yīng)用為目的,以必需、夠用為度,以講清概念、強(qiáng)化應(yīng)用為教學(xué)重點(diǎn)的高職高專教材特色,在“淺、寬、高、新、用”上下工夫。在介紹QuartusⅡ原理圖輸入設(shè)計(jì)法的時(shí)候,示例以“數(shù)字電子技術(shù)”課程中常見的例題為主,使得教學(xué)具有明顯的連續(xù)性,以便于學(xué)生理解。這部分內(nèi)容重點(diǎn)要求學(xué)生掌握最基本的原理圖設(shè)計(jì)輸入法,編譯、仿真設(shè)計(jì)文件,層次化設(shè)計(jì)等基本方法與技能。而在VHDL設(shè)計(jì)中,總結(jié)了以往高職高專程序設(shè)計(jì)教學(xué)的經(jīng)驗(yàn),采用分析-結(jié)-設(shè)計(jì)的吸收-消化-再創(chuàng)新的教學(xué)方法,即引導(dǎo)學(xué)生先通過(guò)分析現(xiàn)有的程序,從中總結(jié)出規(guī)律或得到一定啟發(fā)后,再編寫出自己的新程序。

內(nèi)容概要

  《EDA技術(shù)與VHDL實(shí)用教程》是高職高專電子信息工程類專業(yè)教材之一,比較全面地闡述了EDA技術(shù)和VHDL?!禘DA技術(shù)與VHDL實(shí)用教程》的CPLD/FPGA開發(fā)軟件選用國(guó)內(nèi)應(yīng)用廣泛的Altera公司的升級(jí)產(chǎn)品QuartusⅡ,硬件描述語(yǔ)言選用目前世界上最常用的,并且在國(guó)內(nèi)資料較多的VHDL。對(duì)于QuartusⅡ,《EDA技術(shù)與VHDL實(shí)用教程》重點(diǎn)介紹其原理圖輸入設(shè)計(jì)法流程、VHDL輸人設(shè)計(jì)法流程、運(yùn)用Quartus Ⅱ進(jìn)行設(shè)計(jì)文件的編輯、編譯、仿真及器件編程等內(nèi)容。對(duì)于VHDL,則重點(diǎn)介紹VHDL語(yǔ)句與程序結(jié)構(gòu)、語(yǔ)法法則和設(shè)計(jì)流程等。在介紹VHDL時(shí),總結(jié)了以往高職程序設(shè)計(jì)教學(xué)的經(jīng)驗(yàn),采用分析—總結(jié)—設(shè)計(jì)的吸收—消化—再創(chuàng)新的教學(xué)方法,即引導(dǎo)學(xué)生先通過(guò)分析現(xiàn)有的程序,從中總結(jié)出規(guī)律或得到一定啟發(fā)后,再編寫出自己的新程序。全書以培養(yǎng)學(xué)生EDA工程實(shí)踐能力為宗旨,各部分選材和安排圍繞培養(yǎng)學(xué)生工程實(shí)踐能力來(lái)展開,在書中專門安排有一章講授EDA工程實(shí)踐項(xiàng)目方法和實(shí)例。  《EDA技術(shù)與VHDL實(shí)用教程》可作為高等職業(yè)院校、高等??圃盒?、成人高校、民辦高校及本科院校舉辦的二級(jí)職業(yè)技術(shù)學(xué)院電子信息工程技術(shù)專業(yè)、應(yīng)用電子技術(shù)專業(yè)及相關(guān)專業(yè)的教學(xué)用書,也適用于五年制高職、中職相關(guān)專業(yè),并可作為相關(guān)社會(huì)從業(yè)人員的業(yè)務(wù)參考書和培訓(xùn)用書。

書籍目錄

緒論 第1章 EDA技術(shù)概述 1.1 可編程邏輯器件 1.2 面向CPLD/FPGA的EDA設(shè)計(jì)流程 1.3 面向CPLD/FPGA的常用EDA工具 1.4 硬件描述語(yǔ)言 本章小結(jié) 本章習(xí)題 第2章 Quartus Ⅱ原理圖輸入設(shè)計(jì)法入門 2.1 Quartus Ⅱ原理圖輸入法 2.2 QuartusⅡ器件編程 本章小結(jié) 本章習(xí)題 實(shí)訓(xùn)項(xiàng)目 第3章 Quartus Ⅱ原理圖輸入法深入 3.1 原理圖輸入法中MAX+plus Ⅱ老式宏函數(shù)的應(yīng)用 3.2原理圖輸入法中LPM函數(shù)的應(yīng)用 3.3原理圖輸入法中的層次化設(shè)計(jì) 本章小結(jié) 本章習(xí)題 實(shí)訓(xùn)項(xiàng)目 第4章 VHDL設(shè)計(jì)入門 4.1 Quartus Ⅱ的HDL輸入法 4.2 VHDL程序的基本結(jié)構(gòu) 4.3 VHDL程序的順序結(jié)構(gòu) 4.4 VHDL程序的并行結(jié)構(gòu) 4.5 VHDL程序的語(yǔ)言要素 總結(jié) 本章小結(jié) 本章習(xí)題 實(shí)訓(xùn)項(xiàng)目 第5章 VHDL設(shè)計(jì)深入 5.1 深入VHDL程序結(jié)構(gòu) 5.2 VHDL設(shè)計(jì)中LPM函數(shù)的應(yīng)用 5.3 VHDL層次化文件設(shè)計(jì) 本章小結(jié) 本章習(xí)題 實(shí)訓(xùn)項(xiàng)目 第6章 綜合設(shè)計(jì)項(xiàng)目 6.1 綜合設(shè)計(jì)總體方案設(shè)計(jì) 6.2 綜合設(shè)計(jì)各模塊分析 本章小結(jié) 附錄 VHDL基本設(shè)計(jì)典型實(shí)例 參考文獻(xiàn)

章節(jié)摘錄

版權(quán)頁(yè):   插圖:   (8)顯示顏色:分為單基色、雙基色和全彩色三種。單基色的像素多由單紅色或單綠色發(fā)光二極管組成;雙基色的像素一般由紅色和綠色發(fā)光二極管共同組成;全彩色的像素一般由紅色、綠色、藍(lán)色發(fā)光二極管組成。 (9)灰度:灰度是指像素發(fā)光明暗變化的程度,一種基色的灰度一般有8級(jí)至256級(jí)。例如,若每種基色的灰度為16級(jí),對(duì)于雙基色彩色屏,其顯示顏色為16×16色=256色,亦稱該屏為256色顯示屏?;叶仁请p基色和全彩色顯示屏的一個(gè)重要指標(biāo)。通常單色顯示屏不具備多級(jí)灰度顯示能力。 (10)輸入方式:指LED控制系統(tǒng)接收信號(hào)的方式,信號(hào)源可以是計(jì)算機(jī)、掃描儀、手寫板、語(yǔ)音、攝像機(jī)、數(shù)碼相機(jī)、VCD、錄放機(jī)、電視機(jī)等。 (11)顯示方式:一般是指LED顯示屏顯示的圖像與信號(hào)源的時(shí)間關(guān)系,分為同步和異步兩種方式。同步方式是指LED顯示屏的工作方式基本等同于計(jì)算機(jī)的監(jiān)視器,它以至少60幀/秒更新速率點(diǎn)點(diǎn)對(duì)應(yīng)地實(shí)時(shí)計(jì)算機(jī)電腦監(jiān)視器上的圖像,通常具有多灰度的顏色顯示能力,可達(dá)到多媒體的宣傳廣告效果。異步方式是指LED屏具有存儲(chǔ)及自動(dòng)播放的能力,在Pc機(jī)上編輯好的文字及無(wú)灰度圖片通過(guò)串口或其他網(wǎng)絡(luò)接口傳人LED屏,然后由LED屏脫機(jī)自動(dòng)播放,一般沒有多灰度顯示能力,主要用于顯示文字信息,可以多屏聯(lián)網(wǎng)。 (12)使用環(huán)境:LED顯示屏可以在室內(nèi)或室外使用。按使用環(huán)境分為室內(nèi)、室外及半室外顯示屏。室內(nèi)顯示屏面積一般在十幾平方米以下,點(diǎn)密度較高,在非陽(yáng)光直射或燈光照明環(huán)境下使用,觀看距離在幾米以外,屏體不具備密封防水能力。室外顯示屏面積一般從幾平方米到幾十甚至上百平方米,點(diǎn)密度較?。ǘ酁?000~4000點(diǎn)/m2),發(fā)光亮度在5000~8000cd/m2(朝向不同,亮度要求不同),可在陽(yáng)光直射環(huán)境下使用,觀看距離在幾十米以外,屏體具有良好的防風(fēng)抗雨及防雷能力。半室外顯示屏介于室外及室內(nèi)兩者之間,具有較高的發(fā)光亮度,可在非陽(yáng)光直射室外環(huán)境下使用,屏體有一定的密封,一般在屋檐下或櫥窗內(nèi)。 2.LED顯示屏規(guī)格確定 對(duì)LED顯示系統(tǒng)有了一些基本的了解后,假設(shè)要設(shè)計(jì)一個(gè)小型的LED顯示屏安裝在辦公大樓的樓層,用來(lái)顯示文字信息。從經(jīng)濟(jì)、技術(shù)角度出發(fā),可以確定這個(gè)LED顯示屏應(yīng)具有如下的規(guī)格: (1)LED顯示屏安裝在辦公大樓的樓層,要設(shè)計(jì)的顯示屏是室內(nèi)顯示屏。 (2)LED顯示屏用于顯示文字信息,可以采用單色、無(wú)灰度顯示屏。

編輯推薦

《全國(guó)高職高專教育"十一五"規(guī)劃教材:EDA技術(shù)與VHDL實(shí)用教程》是高職高專電子信息工程類專業(yè)教材之一,比較全面地闡述了EDA技術(shù)和VHDL?!度珖?guó)高職高專教育"十一五"規(guī)劃教材:EDA技術(shù)與VHDL實(shí)用教程》可作為高等職業(yè)院校、高等??圃盒!⒊扇烁咝?、民辦高校及本科院校舉辦的二級(jí)職業(yè)技術(shù)學(xué)院電子信息工程技術(shù)專業(yè)、應(yīng)用電子技術(shù)專業(yè)及相關(guān)專業(yè)的教學(xué)用書,也適用于五年制高職、中職相關(guān)專業(yè),并可作為相關(guān)社會(huì)從業(yè)人員的業(yè)務(wù)參考書及培訓(xùn)用書。

圖書封面

評(píng)論、評(píng)分、閱讀與下載


    EDA技術(shù)VHDL實(shí)用教程 PDF格式下載


用戶評(píng)論 (總計(jì)0條)

 
 

 

250萬(wàn)本中文圖書簡(jiǎn)介、評(píng)論、評(píng)分,PDF格式免費(fèi)下載。 第一圖書網(wǎng) 手機(jī)版

京ICP備13047387號(hào)-7